From Andrew Holmes, 6 Years ago, written in Plain Text.
Embed
  1. LibreNMS Poller
  2. ===================================
  3. Version info:
  4. Commit SHA: f2c69f35d0ddd675542af5338e8956924ea783a4
  5. Commit Date: 1503552539
  6. DB Schema: 204
  7. PHP: 7.0.22-0ubuntu0.16.04.1
  8. MySQL: 10.0.31-MariaDB-0ubuntu0.16.04.2
  9. RRDTool: 1.5.5
  10. SNMP: NET-SNMP 5.7.3
  11. ==================================DEBUG!
  12. Starting polling run:
  13.  
  14. SQL[SELECT * FROM `devices` WHERE `disabled` = 0 AND `hostname` = '$HOSTNAME' ORDER BY `device_id` ASC]
  15. SQL[SELECT * FROM devices_attribs WHERE `device_id` = '25']
  16. Hostname: $HOSTNAME
  17. Device ID: 25
  18. OS: netonix
  19.  
  20. SQL[INSERT INTO `device_perf` (`xmt`,`rcv`,`loss`,`min`,`max`,`avg`,`device_id`,`timestamp`)  VALUES ('3','3','0','4.45','5.01','4.70','25',NOW())]
  21. SNMP Check response code: 0
  22. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQnUst -m SNMPv2-MIB:HOST-RESOURCES-MIB:SNMP-FRAMEWORK-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 sysUpTime.0 sysLocation.0 sysContact.0 sysName.0 sysObjectID.0]
  23. sysUpTime.0 = 49018554
  24. sysLocation.0 = REDACTED
  25. sysContact.0 = REDACTED
  26. sysName.0 = REDACTED
  27. sysObjectID.0 = enterprises.46242
  28.  
  29. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OvQ -m SNMPv2-MIB:HOST-RESOURCES-MIB:SNMP-FRAMEWORK-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 sysDescr.0]
  30. Netonix WS-12-250-DC
  31.  
  32. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQnUst -m HOST-RESOURCES-MIB:SNMP-FRAMEWORK-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 snmpEngineTime.0 hrSystemUptime.0]
  33. snmpEngineTime.0 = No Such Object available on this agent at this OID
  34. hrSystemUptime.0 = 49035379
  35.  
  36. Uptime seconds: 490354
  37. RRD[update /opt/librenms/rrd/$HOSTNAME/uptime.rrd N:490354]
  38. [RRD Disabled]Uptime: 5 days, 16h 12m 34s
  39. SQL[SELECT `lat`,`lng` FROM `locations` WHERE `location`='REDACTED' LIMIT 1]
  40. Using cached lat/lng from other device
  41. Modules status: Global- OS  Device  Module [ unix-agent ] disabled globally.
  42.  
  43. Modules status: Global+ OS  Device  
  44. #### Load poller module os ####
  45. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQva -m NETONIX-SWITCH-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix:/opt/librenms/mibs/netonix udp:HOSTNAME:161 firmwareVersion.0]
  46. 1.4.8rc7
  47.  
  48. Hardware: WS-12-250-DC
  49. Version: 1.4.8rc7
  50. Features:
  51. Serial:
  52.  
  53. >> Runtime for poller module 'os': 0.0135 seconds with 912 bytes
  54. #### Unload poller module os ####
  55.  
  56. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-os.rrd N:0.01352596282959]
  57. [RRD Disabled]Modules status: Global+ OS  Device  
  58. #### Load poller module ipmi ####
  59. SQL[SELECT * FROM sensors WHERE device_id = '25' AND poller_type='ipmi']
  60. Array
  61. (
  62. )
  63.  
  64. >> Runtime for poller module 'ipmi': 0.0008 seconds with 1336 bytes
  65. #### Unload poller module ipmi ####
  66.  
  67. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-ipmi.rrd N:0.000823974609375]
  68. [RRD Disabled]Modules status: Global+ OS  Device  
  69. #### Load poller module sensors ####
  70. SQL[SELECT `sensor_class` FROM `sensors` WHERE `device_id` = '25' GROUP BY `sensor_class`]
  71. SQL[SELECT * FROM `sensors` WHERE `sensor_class` = 'current' AND `device_id` = '25']
  72. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OUQnt -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 .1.3.6.1.4.1.46242.7.0]
  73. .*.4.1.46242.7.0 = 27
  74.  
  75. Checking (snmp) current DC Input Current...
  76. 2.7
  77. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-current-netonix-0.rrd N:2.7]
  78. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='2.7',`sensor_prev` ='2.8',`lastupdate` =NOW() WHERE `sensor_class` = 'Current' AND `sensor_id` = '20']
  79. SQL[SELECT * FROM `sensors` WHERE `sensor_class` = 'fanspeed' AND `device_id` = '25']
  80. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OUQnt -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 .1.3.6.1.4.1.46242.2.1.2.1]
  81. .*.4.1.46*.1 = 2130
  82.  
  83. Checking (snmp) fanspeed Fan 1...
  84. 2130
  85. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-fanspeed-netonix-1.rrd N:2130]
  86. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='2130',`sensor_prev` ='0',`lastupdate` =NOW() WHERE `sensor_class` = 'Fanspeed' AND `sensor_id` = '21']
  87. SQL[SELECT * FROM `sensors` WHERE `sensor_class` = 'power' AND `device_id` = '25']
  88. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OUQnt -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 .1.3.6.1.4.1.46242.6.0]
  89. .*.4.1.46242.6.0 = 754
  90.  
  91. Checking (snmp) power Total Consumption...
  92. 75.4
  93. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-power-netonix-0.rrd N:75.4]
  94. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='75.4',`sensor_prev` ='75.1',`lastupdate` =NOW() WHERE `sensor_class` = 'Power' AND `sensor_id` = '22']
  95. SQL[SELECT * FROM `sensors` WHERE `sensor_class` = 'state' AND `device_id` = '25']
  96. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OUQnt -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 .1.3.6.1.4.1.46242.5.1.2.1 .1.3.6.1.4.1.46242.5.1.2.2 .1.3.6.1.4.1.46242.5.1.2.3 .1.3.6.1.4.1.46242.5.1.2.4 .1.3.6.1.4.1.46242.5.1.2.5 .1.3.6.1.4.1.46242.5.1.2.6 .1.3.6.1.4.1.46242.5.1.2.7 .1.3.6.1.4.1.46242.5.1.2.8 .1.3.6.1.4.1.46242.5.1.2.9 .1.3.6.1.4.1.46242.5.1.2.10]
  97. .*.4.1.46*.1 = "48VH"
  98. .*.4.1.46*.2 = "Off"
  99. .*.4.1.46*.3 = "24V"
  100. .*.4.1.46*.4 = "24V"
  101. .*.4.1.46*.5 = "24V"
  102. .*.4.1.46*.6 = "Off"
  103. .*.4.1.46*.7 = "48V"
  104. .*.4.1.46*.8 = "48V"
  105. .*.4.1.46*.9 = "48V"
  106. .*.4.1.46*.10 = "Off"
  107.  
  108. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OUQnt -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 .1.3.6.1.4.1.46242.5.1.2.11 .1.3.6.1.4.1.46242.5.1.2.12 .1.3.6.1.4.1.46242.5.1.2.13 .1.3.6.1.4.1.46242.5.1.2.14]
  109. .*.4.1.46*.11 = "Off"
  110. .*.4.1.46*.12 = "Off"
  111. .*.4.1.46*.13 = "Off"
  112. .*.4.1.46*.14 = "Off"
  113.  
  114. Checking (snmp) state Port 1 PoE...
  115. SQL[SELECT `state_value`
  116.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  117.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  118.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '245'
  119.                         AND `state_translations`.`state_descr` LIKE '48VH']
  120. State value of 48VH is 5
  121. Checking (snmp) state Port 2 PoE...
  122. SQL[SELECT `state_value`
  123.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  124.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  125.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '246'
  126.                         AND `state_translations`.`state_descr` LIKE 'Off']
  127. State value of Off is 1
  128. Checking (snmp) state Port 3 PoE...
  129. SQL[SELECT `state_value`
  130.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  131.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  132.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '247'
  133.                         AND `state_translations`.`state_descr` LIKE '24V']
  134. State value of 24V is 2
  135. Checking (snmp) state Port 4 PoE...
  136. SQL[SELECT `state_value`
  137.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  138.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  139.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '248'
  140.                         AND `state_translations`.`state_descr` LIKE '24V']
  141. State value of 24V is 2
  142. Checking (snmp) state Port 5 PoE...
  143. SQL[SELECT `state_value`
  144.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  145.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  146.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '249'
  147.                         AND `state_translations`.`state_descr` LIKE '24V']
  148. State value of 24V is 2
  149. Checking (snmp) state Port 6 PoE...
  150. SQL[SELECT `state_value`
  151.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  152.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  153.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '250'
  154.                         AND `state_translations`.`state_descr` LIKE 'Off']
  155. State value of Off is 1
  156. Checking (snmp) state Port 7 PoE...
  157. SQL[SELECT `state_value`
  158.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  159.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  160.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '251'
  161.                         AND `state_translations`.`state_descr` LIKE '48V']
  162. State value of 48V is 3
  163. Checking (snmp) state Port 8 PoE...
  164. SQL[SELECT `state_value`
  165.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  166.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  167.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '252'
  168.                         AND `state_translations`.`state_descr` LIKE '48V']
  169. State value of 48V is 3
  170. Checking (snmp) state Port 9 PoE...
  171. SQL[SELECT `state_value`
  172.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  173.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  174.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '253'
  175.                         AND `state_translations`.`state_descr` LIKE '48V']
  176. State value of 48V is 3
  177. Checking (snmp) state Port 10 PoE...
  178. SQL[SELECT `state_value`
  179.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  180.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  181.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '254'
  182.                         AND `state_translations`.`state_descr` LIKE 'Off']
  183. State value of Off is 1
  184. Checking (snmp) state Port 11 PoE...
  185. SQL[SELECT `state_value`
  186.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  187.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  188.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '255'
  189.                         AND `state_translations`.`state_descr` LIKE 'Off']
  190. State value of Off is 1
  191. Checking (snmp) state Port 12 PoE...
  192. SQL[SELECT `state_value`
  193.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  194.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  195.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '256'
  196.                         AND `state_translations`.`state_descr` LIKE 'Off']
  197. State value of Off is 1
  198. Checking (snmp) state Port 13 PoE...
  199. SQL[SELECT `state_value`
  200.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  201.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  202.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '257'
  203.                         AND `state_translations`.`state_descr` LIKE 'Off']
  204. State value of Off is 1
  205. Checking (snmp) state Port 14 PoE...
  206. SQL[SELECT `state_value`
  207.                         FROM `state_translations` LEFT JOIN `sensors_to_state_indexes`
  208.                         ON `state_translations`.`state_index_id` = `sensors_to_state_indexes`.`state_index_id`
  209.                         WHERE `sensors_to_state_indexes`.`sensor_id` = '258'
  210.                         AND `state_translations`.`state_descr` LIKE 'Off']
  211. State value of Off is 1
  212. 5
  213. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-1.rrd N:5]
  214. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='5',`sensor_prev` ='5',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '245']
  215. 1
  216. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-2.rrd N:1]
  217. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='1',`sensor_prev` ='1',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '246']
  218. 2
  219. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-3.rrd N:2]
  220. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='2',`sensor_prev` ='2',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '247']
  221. 2
  222. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-4.rrd N:2]
  223. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='2',`sensor_prev` ='2',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '248']
  224. 2
  225. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-5.rrd N:2]
  226. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='2',`sensor_prev` ='2',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '249']
  227. 1
  228. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-6.rrd N:1]
  229. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='1',`sensor_prev` ='1',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '250']
  230. 3
  231. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-7.rrd N:3]
  232. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='3',`sensor_prev` ='3',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '251']
  233. 3
  234. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-8.rrd N:3]
  235. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='3',`sensor_prev` ='3',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '252']
  236. 3
  237. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-9.rrd N:3]
  238. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='3',`sensor_prev` ='3',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '253']
  239. 1
  240. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-10.rrd N:1]
  241. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='1',`sensor_prev` ='1',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '254']
  242. 1
  243. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-11.rrd N:1]
  244. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='1',`sensor_prev` ='1',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '255']
  245. 1
  246. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-12.rrd N:1]
  247. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='1',`sensor_prev` ='1',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '256']
  248. 1
  249. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-13.rrd N:1]
  250. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='1',`sensor_prev` ='1',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '257']
  251. 1
  252. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-state-netonixPoeStatus-14.rrd N:1]
  253. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='1',`sensor_prev` ='1',`lastupdate` =NOW() WHERE `sensor_class` = 'State' AND `sensor_id` = '258']
  254. SQL[SELECT * FROM `sensors` WHERE `sensor_class` = 'temperature' AND `device_id` = '25']
  255. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OUQnt -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 .1.3.6.1.4.1.46242.3.1.3.1 .1.3.6.1.4.1.46242.3.1.3.2 .1.3.6.1.4.1.46242.3.1.3.3 .1.3.6.1.4.1.46242.3.1.3.4 .1.3.6.1.4.1.46242.3.1.3.5 .1.3.6.1.4.1.46242.3.1.3.6 .1.3.6.1.4.1.46242.3.1.3.7]
  256. .*.4.1.46*.1 = 39
  257. .*.4.1.46*.2 = 72
  258. .*.4.1.46*.3 = 79
  259. .*.4.1.46*.4 = 29
  260. .*.4.1.46*.5 = 28
  261. .*.4.1.46*.6 = 28
  262. .*.4.1.46*.7 = 43
  263.  
  264. Checking (snmp) temperature Board Temp...
  265. Checking (snmp) temperature CPU Temp...
  266. Checking (snmp) temperature PHY Temp...
  267. Checking (snmp) temperature DCDC Board Temp...
  268. Checking (snmp) temperature DCDC Heatsink #1 Temp...
  269. Checking (snmp) temperature DCDC Heatsink #2 Temp...
  270. Checking (snmp) temperature DCDC Controller Temp...
  271. 39
  272. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-temperature-netonix-1.rrd N:39]
  273. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='39',`sensor_prev` ='37',`lastupdate` =NOW() WHERE `sensor_class` = 'Temperature' AND `sensor_id` = '37']
  274. 72
  275. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-temperature-netonix-2.rrd N:72]
  276. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='72',`sensor_prev` ='71',`lastupdate` =NOW() WHERE `sensor_class` = 'Temperature' AND `sensor_id` = '38']
  277. 79
  278. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-temperature-netonix-3.rrd N:79]
  279. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='79',`sensor_prev` ='79',`lastupdate` =NOW() WHERE `sensor_class` = 'Temperature' AND `sensor_id` = '39']
  280. 29
  281. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-temperature-netonix-4.rrd N:29]
  282. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='29',`sensor_prev` ='30',`lastupdate` =NOW() WHERE `sensor_class` = 'Temperature' AND `sensor_id` = '40']
  283. 28
  284. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-temperature-netonix-5.rrd N:28]
  285. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='28',`sensor_prev` ='28',`lastupdate` =NOW() WHERE `sensor_class` = 'Temperature' AND `sensor_id` = '41']
  286. 28
  287. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-temperature-netonix-6.rrd N:28]
  288. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='28',`sensor_prev` ='28',`lastupdate` =NOW() WHERE `sensor_class` = 'Temperature' AND `sensor_id` = '42']
  289. 43
  290. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-temperature-netonix-7.rrd N:43]
  291. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='43',`sensor_prev` ='43',`lastupdate` =NOW() WHERE `sensor_class` = 'Temperature' AND `sensor_id` = '43']
  292. SQL[SELECT * FROM `sensors` WHERE `sensor_class` = 'voltage' AND `device_id` = '25']
  293. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OUQnt -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 .1.3.6.1.4.1.46242.4.1.3.1 .1.3.6.1.4.1.46242.4.1.3.2 .1.3.6.1.4.1.46242.4.1.3.3 .1.3.6.1.4.1.46242.4.1.3.4 .1.3.6.1.4.1.46242.4.1.3.5]
  294. .*.4.1.46*.1 = 4840
  295. .*.4.1.46*.2 = 2480
  296. .*.4.1.46*.3 = 330
  297. .*.4.1.46*.4 = 2730
  298. .*.4.1.46*.5 = 4980
  299.  
  300. Checking (snmp) voltage Board 48V...
  301. Checking (snmp) voltage Board 24V...
  302. Checking (snmp) voltage Board 3V...
  303. Checking (snmp) voltage DCDC Input Voltage...
  304. Checking (snmp) voltage DCDC Output Voltage...
  305. 48.4
  306. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-voltage-netonix-1.rrd N:48.4]
  307. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='48.4',`sensor_prev` ='49',`lastupdate` =NOW() WHERE `sensor_class` = 'Voltage' AND `sensor_id` = '44']
  308. 24.8
  309. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-voltage-netonix-2.rrd N:24.8]
  310. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='24.8',`sensor_prev` ='24.8',`lastupdate` =NOW() WHERE `sensor_class` = 'Voltage' AND `sensor_id` = '45']
  311. 3.3
  312. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-voltage-netonix-3.rrd N:3.3]
  313. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='3.3',`sensor_prev` ='3.3',`lastupdate` =NOW() WHERE `sensor_class` = 'Voltage' AND `sensor_id` = '46']
  314. 27.3
  315. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-voltage-netonix-4.rrd N:27.3]
  316. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='27.3',`sensor_prev` ='27.3',`lastupdate` =NOW() WHERE `sensor_class` = 'Voltage' AND `sensor_id` = '47']
  317. 49.8
  318. RRD[update /opt/librenms/rrd/$HOSTNAME/sensor-voltage-netonix-5.rrd N:49.8]
  319. [RRD Disabled]SQL[UPDATE `sensors` set `sensor_current` ='49.8',`sensor_prev` ='49.8',`lastupdate` =NOW() WHERE `sensor_class` = 'Voltage' AND `sensor_id` = '48']
  320.  
  321. >> Runtime for poller module 'sensors': 0.5545 seconds with 352 bytes
  322. #### Unload poller module sensors ####
  323.  
  324. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-sensors.rrd N:0.55448603630066]
  325. [RRD Disabled]Modules status: Global+ OS  Device  
  326. #### Load poller module processors ####
  327. SQL[SELECT * FROM processors WHERE device_id = '25']
  328. Processor CPU... SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OvQ -m UCD-SNMP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 ssCpuIdle.0]
  329. 51
  330.  
  331. 49%
  332. RRD[update /opt/librenms/rrd/$HOSTNAME/processor-ucd-old-0.rrd N:49]
  333. [RRD Disabled]SQL[UPDATE `processors` set `processor_usage` ='49' WHERE `processor_id` = '11']
  334.  
  335. >> Runtime for poller module 'processors': 0.0185 seconds with 2920 bytes
  336. #### Unload poller module processors ####
  337.  
  338. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-processors.rrd N:0.018512964248657]
  339. [RRD Disabled]Modules status: Global+ OS  Device  
  340. #### Load poller module mempools ####
  341. SQL[SELECT * FROM mempools WHERE device_id = '25']
  342. Mempool Memory: SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OvQU -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 UCD-SNMP-MIB::memTotalReal.0]
  343. 126348
  344.  
  345. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OvQU -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 UCD-SNMP-MIB::memAvailReal.0]
  346. 76792
  347.  
  348. 39.22% RRD[update /opt/librenms/rrd/$HOSTNAME/mempool-netonix-0.rrd N:50745344:78635008]
  349. [RRD Disabled]SQL[UPDATE `mempools` set `mempool_used` ='50745344',`mempool_perc` ='39.22',`mempool_free` ='78635008',`mempool_total` ='129380352' WHERE `mempool_id` = '5']
  350.  
  351.  
  352. >> Runtime for poller module 'mempools': 0.0313 seconds with 4184 bytes
  353. #### Unload poller module mempools ####
  354.  
  355. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-mempools.rrd N:0.031290054321289]
  356. [RRD Disabled]Modules status: Global+ OS  Device  
  357. #### Load poller module storage ####
  358. SQL[SELECT * FROM storage WHERE device_id = '25']
  359. Storage /jffs: ucd-dsktable
  360.  
  361.  
  362.  
  363. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m UCD-SNMP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 dskTable]
  364. dskIndex.1 = 1
  365. dskPath.1 = /jffs
  366. dskDevice.1 = /dev/mtdblock6
  367. dskMinimum.1 = -1
  368. dskMinPercent.1 = -1
  369. dskTotal.1 = 4352
  370. dskAvail.1 = 3108
  371. dskUsed.1 = 1244
  372. dskPercent.1 = 29
  373. dskPercentNode.1 = 100
  374. dskErrorFlag.1 = noError
  375. dskErrorMsg.1 =
  376.  
  377. Array
  378. (
  379.     [dsk] => Array
  380.         (
  381.             [1] => Array
  382.                 (
  383.                     [dskIndex] => 1
  384.                     [dskPath] => /jffs
  385.                     [dskDevice] => /dev/mtdblock6
  386.                     [dskMinimum] => -1
  387.                     [dskMinPercent] => -1
  388.                     [dskTotal] => 4352
  389.                     [dskAvail] => 3108
  390.                     [dskUsed] => 1244
  391.                     [dskPercent] => 29
  392.                     [dskPercentNode] => 100
  393.                     [dskErrorFlag] => noError
  394.                     [dskErrorMsg] =>
  395.                 )
  396.  
  397.         )
  398.  
  399. )
  400. Array
  401. (
  402.     [storage_id] => 8
  403.     [device_id] => 25
  404.     [storage_mib] => ucd-dsktable
  405.     [storage_index] => 1
  406.     [storage_type] => dsk
  407.     [storage_descr] => /jffs
  408.     [storage_size] => 4456448
  409.     [storage_units] => 1024
  410.     [storage_used] => 1273856
  411.     [storage_free] => 3182592
  412.     [storage_perc] => 29
  413.     [storage_perc_warn] => 60
  414.     [storage_deleted] => 0
  415.     [units] => 1024
  416.     [size] => 4456448
  417.     [free] => 3182592
  418.     [used] => 1273856
  419. )
  420. 29% RRD[update /opt/librenms/rrd/$HOSTNAME/storage-ucd-dsktable-_jffs.rrd N:1273856:3182592]
  421. [RRD Disabled]SQL[UPDATE `storage` set `storage_used` ='1273856',`storage_free` ='3182592',`storage_size` ='4456448',`storage_units` ='1024',`storage_perc` ='29' WHERE `storage_id` = '8']
  422.  
  423.  
  424. >> Runtime for poller module 'storage': 0.0295 seconds with 4160 bytes
  425. #### Unload poller module storage ####
  426.  
  427. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-storage.rrd N:0.029505014419556]
  428. [RRD Disabled]Modules status: Global+ OS  Device  
  429. #### Load poller module netstats ####
  430.  IPSNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQUs -m IP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161  IP-MIB::ipForwDatagrams.0 IP-MIB::ipInDelivers.0 IP-MIB::ipInReceives.0 IP-MIB::ipOutRequests.0 IP-MIB::ipInDiscards.0 IP-MIB::ipOutDiscards.0 IP-MIB::ipOutNoRoutes.0 IP-MIB::ipReasmReqds.0 IP-MIB::ipReasmOKs.0 IP-MIB::ipReasmFails.0 IP-MIB::ipFragOKs.0 IP-MIB::ipFragFails.0 IP-MIB::ipFragCreates.0 IP-MIB::ipInUnknownProtos.0 IP-MIB::ipInHdrErrors.0 IP-MIB::ipInAddrErrors.0]
  431. ipForwDatagrams.0 = No Such Object available on this agent at this OID
  432. ipInDelivers.0 = No Such Object available on this agent at this OID
  433. ipInReceives.0 = No Such Object available on this agent at this OID
  434. ipOutRequests.0 = No Such Object available on this agent at this OID
  435. ipInDiscards.0 = No Such Object available on this agent at this OID
  436. ipOutDiscards.0 = No Such Object available on this agent at this OID
  437. ipOutNoRoutes.0 = No Such Object available on this agent at this OID
  438. ipReasmReqds.0 = No Such Object available on this agent at this OID
  439. ipReasmOKs.0 = No Such Object available on this agent at this OID
  440. ipReasmFails.0 = No Such Object available on this agent at this OID
  441. ipFragOKs.0 = No Such Object available on this agent at this OID
  442. ipFragFails.0 = No Such Object available on this agent at this OID
  443. ipFragCreates.0 = No Such Object available on this agent at this OID
  444. ipInUnknownProtos.0 = No Such Object available on this agent at this OID
  445. ipInHdrErrors.0 = No Such Object available on this agent at this OID
  446. ipInAddrErrors.0 = No Such Object available on this agent at this OID
  447.  
  448.  TCPSNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQUs -m TCP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161  TCP-MIB::tcpActiveOpens.0 TCP-MIB::tcpPassiveOpens.0 TCP-MIB::tcpAttemptFails.0 TCP-MIB::tcpEstabResets.0 TCP-MIB::tcpCurrEstab.0 TCP-MIB::tcpInSegs.0 TCP-MIB::tcpOutSegs.0 TCP-MIB::tcpRetransSegs.0 TCP-MIB::tcpInErrs.0 TCP-MIB::tcpOutRsts.0 tcpHCInSegs.0 tcpHCOutSegs.0]
  449. tcpActiveOpens.0 = No Such Object available on this agent at this OID
  450. tcpPassiveOpens.0 = No Such Object available on this agent at this OID
  451. tcpAttemptFails.0 = No Such Object available on this agent at this OID
  452. tcpEstabResets.0 = No Such Object available on this agent at this OID
  453. tcpCurrEstab.0 = No Such Object available on this agent at this OID
  454. tcpInSegs.0 = No Such Object available on this agent at this OID
  455. tcpOutSegs.0 = No Such Object available on this agent at this OID
  456. tcpRetransSegs.0 = No Such Object available on this agent at this OID
  457. tcpInErrs.0 = No Such Object available on this agent at this OID
  458. tcpOutRsts.0 = No Such Object available on this agent at this OID
  459. tcpHCInSegs.0 = No Such Object available on this agent at this OID
  460. tcpHCOutSegs.0 = No Such Object available on this agent at this OID
  461.  
  462.  UDPSNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQUs -m UDP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161  UDP-MIB::udpInDatagrams.0 UDP-MIB::udpOutDatagrams.0 UDP-MIB::udpInErrors.0 UDP-MIB::udpNoPorts.0]
  463. udpInDatagrams.0 = No Such Object available on this agent at this OID
  464. udpOutDatagrams.0 = No Such Object available on this agent at this OID
  465. udpInErrors.0 = No Such Object available on this agent at this OID
  466. udpNoPorts.0 = No Such Object available on this agent at this OID
  467.  
  468.  ICMPSNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m IP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 icmp]
  469. icmp = No Such Object available on this agent at this OID
  470.  
  471.  SNMPSNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m SNMPv2-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 snmp]
  472. snmp = No Such Object available on this agent at this OID
  473.  
  474.  IP-FORWARDSNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQv -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 IP-FORWARD-MIB::ipCidrRouteNumber.0]
  475. No Such Object available on this agent at this OID
  476.  
  477.  
  478.  
  479. >> Runtime for poller module 'netstats': 0.1106 seconds with 12464 bytes
  480. #### Unload poller module netstats ####
  481.  
  482. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-netstats.rrd N:0.11062407493591]
  483. [RRD Disabled]Modules status: Global+ OS  Device  
  484. #### Load poller module hr-mib ####
  485. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OUQs -m HOST-RESOURCES-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 hrSystemProcesses.0 hrSystemNumUsers.0]
  486. hrSystemProcesses.0 = No Such Instance currently exists at this OID
  487. hrSystemNumUsers.0 = 0
  488.  
  489. RRD[update /opt/librenms/rrd/$HOSTNAME/hr_users.rrd N:0]
  490. [RRD Disabled] Users
  491.  
  492. >> Runtime for poller module 'hr-mib': 0.0172 seconds with 2104 bytes
  493. #### Unload poller module hr-mib ####
  494.  
  495. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-hr-mib.rrd N:0.01720404624939]
  496. [RRD Disabled]Modules status: Global+ OS  Device  
  497. #### Load poller module ucd-mib ####
  498. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m UCD-SNMP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 systemStats]
  499. ssIndex.0 = 1
  500. ssErrorName.0 = systemStats
  501. ssSwapIn.0 = 0
  502. ssSwapOut.0 = 0
  503. ssIOSent.0 = 0
  504. ssIOReceive.0 = 0
  505. ssSysInterrupts.0 = 39
  506. ssSysContext.0 = 23
  507. ssCpuUser.0 = 23
  508. ssCpuSystem.0 = 24
  509. ssCpuIdle.0 = 51
  510. ssCpuRawUser.0 = 11684917
  511. ssCpuRawNice.0 = 0
  512. ssCpuRawSystem.0 = 12206206
  513. ssCpuRawIdle.0 = 25144296
  514. ssCpuRawWait.0 = 0
  515. ssCpuRawKernel.0 = 12093855
  516. ssCpuRawInterrupt.0 = 65822
  517. ssIORawSent.0 = 8744
  518. ssIORawReceived.0 = 0
  519. ssRawInterrupts.0 = 147903453
  520. ssRawContexts.0 = 182815807
  521. ssCpuRawSoftIRQ.0 = 46529
  522. ssRawSwapIn.0 = 0
  523. ssRawSwapOut.0 = 0
  524.  
  525. RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_cpu.rrd N:11684917:12206206:0:25144296]
  526. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssCpuRawUser.rrd N:11684917]
  527. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssCpuRawNice.rrd N:0]
  528. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssCpuRawSystem.rrd N:12206206]
  529. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssCpuRawIdle.rrd N:25144296]
  530. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssCpuRawInterrupt.rrd N:65822]
  531. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssCpuRawSoftIRQ.rrd N:46529]
  532. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssCpuRawKernel.rrd N:12093855]
  533. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssCpuRawWait.rrd N:0]
  534. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssIORawSent.rrd N:8744]
  535. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssIORawReceived.rrd N:0]
  536. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssRawInterrupts.rrd N:147903453]
  537. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssRawContexts.rrd N:182815807]
  538. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssRawSwapIn.rrd N:0]
  539. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_ssRawSwapOut.rrd N:0]
  540. [RRD Disabled]SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQUs -m UCD-SNMP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 memTotalSwap.0 memAvailSwap.0 memTotalReal.0 memAvailReal.0 memTotalFree.0 memShared.0 memBuffer.0 memCached.0]
  541. memTotalSwap.0 = 0
  542. memAvailSwap.0 = 0
  543. memTotalReal.0 = 126348
  544. memAvailReal.0 = 76792
  545. memTotalFree.0 = 76792
  546. memShared.0 = 0
  547. memBuffer.0 = 4392
  548. memCached.0 = 17340
  549.  
  550. RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_mem.rrd N:0:0:126348:76792:76792:0:4392:17340]
  551. [RRD Disabled]SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -OQUs -m UCD-SNMP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 laLoadInt.1 laLoadInt.2 laLoadInt.3]
  552. laLoadInt.1 = 49
  553. laLoadInt.2 = 55
  554. laLoadInt.3 = 56
  555.  
  556. RRD[update /opt/librenms/rrd/$HOSTNAME/ucd_load.rrd N:49:55:56]
  557. [RRD Disabled]
  558. >> Runtime for poller module 'ucd-mib': 0.0653 seconds with 9704 bytes
  559. #### Unload poller module ucd-mib ####
  560.  
  561. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-ucd-mib.rrd N:0.065348863601685]
  562. [RRD Disabled]Modules status: Global+ OS  Device  
  563. #### Load poller module ipSystemStats ####
  564. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m IP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 ipSystemStats]
  565. ipSystemStatsTable = No Such Object available on this agent at this OID
  566.  
  567.  
  568.  
  569. >> Runtime for poller module 'ipSystemStats': 0.0261 seconds with 792 bytes
  570. #### Unload poller module ipSystemStats ####
  571.  
  572. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-ipSystemStats.rrd N:0.026074886322021]
  573. [RRD Disabled]Modules status: Global+ OS  Device  
  574. #### Load poller module ports ####
  575. Caching Oids: SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m IF-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 ifXEntry]
  576. ifName.1 = Port 1
  577. ifName.2 = Port 2
  578. ifName.3 = Port 3
  579. ifName.4 = Port 4
  580. ifName.5 = Port 5
  581. ifName.6 = Port 6
  582. ifName.7 = Port 7
  583. ifName.8 = Port 8
  584. ifName.9 = Port 9
  585. ifName.10 = Port 10
  586. ifName.11 = Port 11
  587. ifName.12 = Port 12
  588. ifName.13 = Port 13
  589. ifName.14 = Port 14
  590. ifInMulticastPkts.1 = 567600
  591. ifInMulticastPkts.2 = 0
  592. ifInMulticastPkts.3 = 25535
  593. ifInMulticastPkts.4 = 442723
  594. ifInMulticastPkts.5 = 29897
  595. ifInMulticastPkts.6 = 0
  596. ifInMulticastPkts.7 = 3648008
  597. ifInMulticastPkts.8 = 24
  598. ifInMulticastPkts.9 = 1084
  599. ifInMulticastPkts.10 = 11336
  600. ifInMulticastPkts.11 = 0
  601. ifInMulticastPkts.12 = 0
  602. ifInMulticastPkts.13 = 0
  603. ifInMulticastPkts.14 = 0
  604. ifInBroadcastPkts.1 = 4978314
  605. ifInBroadcastPkts.2 = 0
  606. ifInBroadcastPkts.3 = 37565
  607. ifInBroadcastPkts.4 = 278669
  608. ifInBroadcastPkts.5 = 36738
  609. ifInBroadcastPkts.6 = 0
  610. ifInBroadcastPkts.7 = 5574
  611. ifInBroadcastPkts.8 = 452
  612. ifInBroadcastPkts.9 = 10304
  613. ifInBroadcastPkts.10 = 5685
  614. ifInBroadcastPkts.11 = 0
  615. ifInBroadcastPkts.12 = 0
  616. ifInBroadcastPkts.13 = 0
  617. ifInBroadcastPkts.14 = 0
  618. ifOutMulticastPkts.1 = 260293
  619. ifOutMulticastPkts.2 = 0
  620. ifOutMulticastPkts.3 = 565866
  621. ifOutMulticastPkts.4 = 398730
  622. ifOutMulticastPkts.5 = 558733
  623. ifOutMulticastPkts.6 = 0
  624. ifOutMulticastPkts.7 = 582378
  625. ifOutMulticastPkts.8 = 582376
  626. ifOutMulticastPkts.9 = 582373
  627. ifOutMulticastPkts.10 = 371744
  628. ifOutMulticastPkts.11 = 0
  629. ifOutMulticastPkts.12 = 0
  630. ifOutMulticastPkts.13 = 0
  631. ifOutMulticastPkts.14 = 0
  632. ifOutBroadcastPkts.1 = 375404
  633. ifOutBroadcastPkts.2 = 0
  634. ifOutBroadcastPkts.3 = 5316377
  635. ifOutBroadcastPkts.4 = 5075274
  636. ifOutBroadcastPkts.5 = 5317205
  637. ifOutBroadcastPkts.6 = 0
  638. ifOutBroadcastPkts.7 = 5337613
  639. ifOutBroadcastPkts.8 = 5337614
  640. ifOutBroadcastPkts.9 = 5337593
  641. ifOutBroadcastPkts.10 = 3831386
  642. ifOutBroadcastPkts.11 = 0
  643. ifOutBroadcastPkts.12 = 0
  644. ifOutBroadcastPkts.13 = 0
  645. ifOutBroadcastPkts.14 = 0
  646. ifHCInOctets.1 = 2098118229022
  647. ifHCInOctets.2 = 0
  648. ifHCInOctets.3 = 31086041588
  649. ifHCInOctets.4 = 110659241529
  650. ifHCInOctets.5 = 6361504935
  651. ifHCInOctets.6 = 0
  652. ifHCInOctets.7 = 8999791147
  653. ifHCInOctets.8 = 14120193
  654. ifHCInOctets.9 = 2471420183
  655. ifHCInOctets.10 = 532592678
  656. ifHCInOctets.11 = 0
  657. ifHCInOctets.12 = 0
  658. ifHCInOctets.13 = 0
  659. ifHCInOctets.14 = 0
  660. ifHCInUcastPkts.1 = 1626875123
  661. ifHCInUcastPkts.2 = 0
  662. ifHCInUcastPkts.3 = 163348419
  663. ifHCInUcastPkts.4 = 656089770
  664. ifHCInUcastPkts.5 = 42512093
  665. ifHCInUcastPkts.6 = 0
  666. ifHCInUcastPkts.7 = 35267573
  667. ifHCInUcastPkts.8 = 103175
  668. ifHCInUcastPkts.9 = 10144770
  669. ifHCInUcastPkts.10 = 4410266
  670. ifHCInUcastPkts.11 = 0
  671. ifHCInUcastPkts.12 = 0
  672. ifHCInUcastPkts.13 = 0
  673. ifHCInUcastPkts.14 = 0
  674. ifHCInMulticastPkts.1 = 567600
  675. ifHCInMulticastPkts.2 = 0
  676. ifHCInMulticastPkts.3 = 25535
  677. ifHCInMulticastPkts.4 = 442723
  678. ifHCInMulticastPkts.5 = 29897
  679. ifHCInMulticastPkts.6 = 0
  680. ifHCInMulticastPkts.7 = 3648008
  681. ifHCInMulticastPkts.8 = 24
  682. ifHCInMulticastPkts.9 = 1084
  683. ifHCInMulticastPkts.10 = 11336
  684. ifHCInMulticastPkts.11 = 0
  685. ifHCInMulticastPkts.12 = 0
  686. ifHCInMulticastPkts.13 = 0
  687. ifHCInMulticastPkts.14 = 0
  688. ifHCInBroadcastPkts.1 = 4978314
  689. ifHCInBroadcastPkts.2 = 0
  690. ifHCInBroadcastPkts.3 = 37565
  691. ifHCInBroadcastPkts.4 = 278669
  692. ifHCInBroadcastPkts.5 = 36738
  693. ifHCInBroadcastPkts.6 = 0
  694. ifHCInBroadcastPkts.7 = 5574
  695. ifHCInBroadcastPkts.8 = 452
  696. ifHCInBroadcastPkts.9 = 10304
  697. ifHCInBroadcastPkts.10 = 5685
  698. ifHCInBroadcastPkts.11 = 0
  699. ifHCInBroadcastPkts.12 = 0
  700. ifHCInBroadcastPkts.13 = 0
  701. ifHCInBroadcastPkts.14 = 0
  702. ifHCOutOctets.1 = 161132680363
  703. ifHCOutOctets.2 = 0
  704. ifHCOutOctets.3 = 408586024958
  705. ifHCOutOctets.4 = 1497788529416
  706. ifHCOutOctets.5 = 95591715304
  707. ifHCOutOctets.6 = 0
  708. ifHCOutOctets.7 = 85886426211
  709. ifHCOutOctets.8 = 535644963
  710. ifHCOutOctets.9 = 11713148570
  711. ifHCOutOctets.10 = 874197546
  712. ifHCOutOctets.11 = 0
  713. ifHCOutOctets.12 = 0
  714. ifHCOutOctets.13 = 0
  715. ifHCOutOctets.14 = 0
  716. ifHCOutUcastPkts.1 = 913148497
  717. ifHCOutUcastPkts.2 = 0
  718. ifHCOutUcastPkts.3 = 308422183
  719. ifHCOutUcastPkts.4 = 1161296052
  720. ifHCOutUcastPkts.5 = 72245362
  721. ifHCOutUcastPkts.6 = 0
  722. ifHCOutUcastPkts.7 = 66811819
  723. ifHCOutUcastPkts.8 = 135704
  724. ifHCOutUcastPkts.9 = 12131722
  725. ifHCOutUcastPkts.10 = 4910710
  726. ifHCOutUcastPkts.11 = 0
  727. ifHCOutUcastPkts.12 = 0
  728. ifHCOutUcastPkts.13 = 0
  729. ifHCOutUcastPkts.14 = 0
  730. ifHCOutMulticastPkts.1 = 260293
  731. ifHCOutMulticastPkts.2 = 0
  732. ifHCOutMulticastPkts.3 = 565866
  733. ifHCOutMulticastPkts.4 = 398730
  734. ifHCOutMulticastPkts.5 = 558733
  735. ifHCOutMulticastPkts.6 = 0
  736. ifHCOutMulticastPkts.7 = 582378
  737. ifHCOutMulticastPkts.8 = 582376
  738. ifHCOutMulticastPkts.9 = 582373
  739. ifHCOutMulticastPkts.10 = 371744
  740. ifHCOutMulticastPkts.11 = 0
  741. ifHCOutMulticastPkts.12 = 0
  742. ifHCOutMulticastPkts.13 = 0
  743. ifHCOutMulticastPkts.14 = 0
  744. ifHCOutBroadcastPkts.1 = 375404
  745. ifHCOutBroadcastPkts.2 = 0
  746. ifHCOutBroadcastPkts.3 = 5316377
  747. ifHCOutBroadcastPkts.4 = 5075274
  748. ifHCOutBroadcastPkts.5 = 5317205
  749. ifHCOutBroadcastPkts.6 = 0
  750. ifHCOutBroadcastPkts.7 = 5337613
  751. ifHCOutBroadcastPkts.8 = 5337614
  752. ifHCOutBroadcastPkts.9 = 5337593
  753. ifHCOutBroadcastPkts.10 = 3831386
  754. ifHCOutBroadcastPkts.11 = 0
  755. ifHCOutBroadcastPkts.12 = 0
  756. ifHCOutBroadcastPkts.13 = 0
  757. ifHCOutBroadcastPkts.14 = 0
  758. <snip>
  759.  
  760. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUst -m IF-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 ifEntry]
  761. ifIndex.1 = 1
  762. ifIndex.2 = 2
  763. ifIndex.3 = 3
  764. ifIndex.4 = 4
  765. ifIndex.5 = 5
  766. ifIndex.6 = 6
  767. ifIndex.7 = 7
  768. ifIndex.8 = 8
  769. ifIndex.9 = 9
  770. ifIndex.10 = 10
  771. ifIndex.11 = 11
  772. ifIndex.12 = 12
  773. ifIndex.13 = 13
  774. ifIndex.14 = 14
  775. ifDescr.1 = Port 1
  776. ifDescr.2 = Port 2
  777. ifDescr.3 = Port 3
  778. ifDescr.4 = Port 4
  779. ifDescr.5 = Port 5
  780. ifDescr.6 = Port 6
  781. ifDescr.7 = Port 7
  782. ifDescr.8 = Port 8
  783. ifDescr.9 = Port 9
  784. ifDescr.10 = Port 10
  785. ifDescr.11 = Port 11
  786. ifDescr.12 = Port 12
  787. ifDescr.13 = Port 13
  788. ifDescr.14 = Port 14
  789. ifType.1 = ethernetCsmacd
  790. ifType.2 = ethernetCsmacd
  791. ifType.3 = ethernetCsmacd
  792. ifType.4 = ethernetCsmacd
  793. ifType.5 = ethernetCsmacd
  794. ifType.6 = ethernetCsmacd
  795. ifType.7 = ethernetCsmacd
  796. ifType.8 = ethernetCsmacd
  797. ifType.9 = ethernetCsmacd
  798. ifType.10 = ethernetCsmacd
  799. ifType.11 = ethernetCsmacd
  800. ifType.12 = ethernetCsmacd
  801. ifType.13 = ethernetCsmacd
  802. ifType.14 = ethernetCsmacd
  803. ifSpeed.1 = 1000000000
  804. ifSpeed.2 = 0
  805. ifSpeed.3 = 1000000000
  806. ifSpeed.4 = 1000000000
  807. ifSpeed.5 = 1000000000
  808. ifSpeed.6 = 0
  809. ifSpeed.7 = 1000000000
  810. ifSpeed.8 = 1000000000
  811. ifSpeed.9 = 1000000000
  812. ifSpeed.10 = 1000000000
  813. ifSpeed.11 = 0
  814. ifSpeed.12 = 0
  815. ifSpeed.13 = 0
  816. ifSpeed.14 = 0
  817. ifAdminStatus.1 = up
  818. ifAdminStatus.2 = up
  819. ifAdminStatus.3 = up
  820. ifAdminStatus.4 = up
  821. ifAdminStatus.5 = up
  822. ifAdminStatus.6 = up
  823. ifAdminStatus.7 = up
  824. ifAdminStatus.8 = up
  825. ifAdminStatus.9 = up
  826. ifAdminStatus.10 = up
  827. ifAdminStatus.11 = up
  828. ifAdminStatus.12 = up
  829. ifAdminStatus.13 = up
  830. ifAdminStatus.14 = up
  831. ifOperStatus.1 = up
  832. ifOperStatus.2 = down
  833. ifOperStatus.3 = up
  834. ifOperStatus.4 = up
  835. ifOperStatus.5 = up
  836. ifOperStatus.6 = down
  837. ifOperStatus.7 = up
  838. ifOperStatus.8 = up
  839. ifOperStatus.9 = up
  840. ifOperStatus.10 = up
  841. ifOperStatus.11 = down
  842. ifOperStatus.12 = down
  843. ifOperStatus.13 = down
  844. ifOperStatus.14 = down
  845. ifInOctets.1 = 2174188574
  846. ifInOctets.2 = 0
  847. ifInOctets.3 = 1021270516
  848. ifInOctets.4 = 3285059129
  849. ifInOctets.5 = 2066537639
  850. ifInOctets.6 = 0
  851. ifInOctets.7 = 409856555
  852. ifInOctets.8 = 14120193
  853. ifInOctets.9 = 2471420183
  854. ifInOctets.10 = 532592678
  855. ifInOctets.11 = 0
  856. ifInOctets.12 = 0
  857. ifInOctets.13 = 0
  858. ifInOctets.14 = 0
  859. ifInUcastPkts.1 = 1626875123
  860. ifInUcastPkts.2 = 0
  861. ifInUcastPkts.3 = 163348419
  862. ifInUcastPkts.4 = 656089770
  863. ifInUcastPkts.5 = 42512093
  864. ifInUcastPkts.6 = 0
  865. ifInUcastPkts.7 = 35267573
  866. ifInUcastPkts.8 = 103175
  867. ifInUcastPkts.9 = 10144770
  868. ifInUcastPkts.10 = 4410266
  869. ifInUcastPkts.11 = 0
  870. ifInUcastPkts.12 = 0
  871. ifInUcastPkts.13 = 0
  872. ifInUcastPkts.14 = 0
  873. ifInNUcastPkts.1 = 5545914
  874. ifInNUcastPkts.2 = 0
  875. ifInNUcastPkts.3 = 63100
  876. ifInNUcastPkts.4 = 721392
  877. ifInNUcastPkts.5 = 66635
  878. ifInNUcastPkts.6 = 0
  879. ifInNUcastPkts.7 = 3653582
  880. ifInNUcastPkts.8 = 476
  881. ifInNUcastPkts.9 = 11388
  882. ifInNUcastPkts.10 = 17021
  883. ifInNUcastPkts.11 = 0
  884. ifInNUcastPkts.12 = 0
  885. ifInNUcastPkts.13 = 0
  886. ifInNUcastPkts.14 = 0
  887. ifInDiscards.1 = 1212
  888. ifInDiscards.2 = 0
  889. ifInDiscards.3 = 0
  890. ifInDiscards.4 = 3
  891. ifInDiscards.5 = 0
  892. ifInDiscards.6 = 0
  893. ifInDiscards.7 = 0
  894. ifInDiscards.8 = 0
  895. ifInDiscards.9 = 0
  896. ifInDiscards.10 = 0
  897. ifInDiscards.11 = 0
  898. ifInDiscards.12 = 0
  899. ifInDiscards.13 = 0
  900. ifInDiscards.14 = 0
  901. ifInErrors.1 = 22
  902. ifInErrors.2 = 0
  903. ifInErrors.3 = 0
  904. ifInErrors.4 = 0
  905. ifInErrors.5 = 0
  906. ifInErrors.6 = 0
  907. ifInErrors.7 = 0
  908. ifInErrors.8 = 0
  909. ifInErrors.9 = 0
  910. ifInErrors.10 = 0
  911. ifInErrors.11 = 0
  912. ifInErrors.12 = 0
  913. ifInErrors.13 = 0
  914. ifInErrors.14 = 0
  915. ifOutOctets.1 = 2218890411
  916. ifOutOctets.2 = 0
  917. ifOutOctets.3 = 564131838
  918. ifOutOctets.4 = 3139910408
  919. ifOutOctets.5 = 1102434792
  920. ifOutOctets.6 = 0
  921. ifOutOctets.7 = 4282047587
  922. ifOutOctets.8 = 535644963
  923. ifOutOctets.9 = 3123213978
  924. ifOutOctets.10 = 874197546
  925. ifOutOctets.11 = 0
  926. ifOutOctets.12 = 0
  927. ifOutOctets.13 = 0
  928. ifOutOctets.14 = 0
  929. ifOutUcastPkts.1 = 913148497
  930. ifOutUcastPkts.2 = 0
  931. ifOutUcastPkts.3 = 308422183
  932. ifOutUcastPkts.4 = 1161296052
  933. ifOutUcastPkts.5 = 72245362
  934. ifOutUcastPkts.6 = 0
  935. ifOutUcastPkts.7 = 66811819
  936. ifOutUcastPkts.8 = 135704
  937. ifOutUcastPkts.9 = 12131722
  938. ifOutUcastPkts.10 = 4910710
  939. ifOutUcastPkts.11 = 0
  940. ifOutUcastPkts.12 = 0
  941. ifOutUcastPkts.13 = 0
  942. ifOutUcastPkts.14 = 0
  943. ifOutNUcastPkts.1 = 635697
  944. ifOutNUcastPkts.2 = 0
  945. ifOutNUcastPkts.3 = 5882243
  946. ifOutNUcastPkts.4 = 5474004
  947. ifOutNUcastPkts.5 = 5875938
  948. ifOutNUcastPkts.6 = 0
  949. ifOutNUcastPkts.7 = 5919991
  950. ifOutNUcastPkts.8 = 5919990
  951. ifOutNUcastPkts.9 = 5919966
  952. ifOutNUcastPkts.10 = 4203130
  953. ifOutNUcastPkts.11 = 0
  954. ifOutNUcastPkts.12 = 0
  955. ifOutNUcastPkts.13 = 0
  956. ifOutNUcastPkts.14 = 0
  957. ifOutDiscards.1 = 0
  958. ifOutDiscards.2 = 0
  959. ifOutDiscards.3 = 0
  960. ifOutDiscards.4 = 0
  961. ifOutDiscards.5 = 0
  962. ifOutDiscards.6 = 0
  963. ifOutDiscards.7 = 0
  964. ifOutDiscards.8 = 0
  965. ifOutDiscards.9 = 0
  966. ifOutDiscards.10 = 0
  967. ifOutDiscards.11 = 0
  968. ifOutDiscards.12 = 0
  969. ifOutDiscards.13 = 0
  970. ifOutDiscards.14 = 0
  971. ifOutErrors.1 = 0
  972. ifOutErrors.2 = 0
  973. ifOutErrors.3 = 0
  974. ifOutErrors.4 = 0
  975. ifOutErrors.5 = 0
  976. ifOutErrors.6 = 0
  977. ifOutErrors.7 = 0
  978. ifOutErrors.8 = 0
  979. ifOutErrors.9 = 0
  980. ifOutErrors.10 = 0
  981. ifOutErrors.11 = 0
  982. ifOutErrors.12 = 0
  983. ifOutErrors.13 = 0
  984. ifOutErrors.14 = 0
  985.  
  986. dot3StatsDuplexStatusSNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m EtherLike-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 dot3StatsDuplexStatus]
  987. dot3StatsDuplexStatus = No Such Object available on this agent at this OID
  988.  
  989. SQL[SELECT COUNT(*) FROM `ports` WHERE `device_id` = '25' AND `ifType` = 'adsl']
  990. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m Q-BRIDGE-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 dot1qPvid]
  991. dot1qPvid = No Such Object available on this agent at this OID
  992.  
  993. Array
  994. (
  995.     [1] => Array
  996.         (
  997.             [ifName] => Port 1
  998.             [ifInMulticastPkts] => 567600
  999.             [ifInBroadcastPkts] => 4978314
  1000.             [ifOutMulticastPkts] => 260293
  1001.             [ifOutBroadcastPkts] => 375404
  1002.             [ifHCInOctets] => 2098118229022
  1003.             [ifHCInUcastPkts] => 1626875123
  1004.             [ifHCInMulticastPkts] => 567600
  1005.             [ifHCInBroadcastPkts] => 4978314
  1006.             [ifHCOutOctets] => 161132680363
  1007.             [ifHCOutUcastPkts] => 913148497
  1008.             [ifHCOutMulticastPkts] => 260293
  1009.             [ifHCOutBroadcastPkts] => 375404
  1010.             [ifAlias] => CMP-BH14 AF
  1011.             [ifIndex] => 1
  1012.             [ifDescr] => Port 1
  1013.             [ifType] => ethernetCsmacd
  1014.             [ifSpeed] => 1000000000
  1015.             [ifAdminStatus] => up
  1016.             [ifOperStatus] => up
  1017.             [ifInOctets] => 2174188574
  1018.             [ifInUcastPkts] => 1626875123
  1019.             [ifInNUcastPkts] => 5545914
  1020.             [ifInDiscards] => 1212
  1021.             [ifInErrors] => 22
  1022.             [ifOutOctets] => 2218890411
  1023.             [ifOutUcastPkts] => 913148497
  1024.             [ifOutNUcastPkts] => 635697
  1025.             [ifOutDiscards] => 0
  1026.             [ifOutErrors] => 0
  1027.         )
  1028.  
  1029.     [2] => Array
  1030.         (
  1031.             [ifName] => Port 2
  1032.             [ifInMulticastPkts] => 0
  1033.             [ifInBroadcastPkts] => 0
  1034.             [ifOutMulticastPkts] => 0
  1035.             [ifOutBroadcastPkts] => 0
  1036.             [ifHCInOctets] => 0
  1037.             [ifHCInUcastPkts] => 0
  1038.             [ifHCInMulticastPkts] => 0
  1039.             [ifHCInBroadcastPkts] => 0
  1040.             [ifHCOutOctets] => 0
  1041.             [ifHCOutUcastPkts] => 0
  1042.             [ifHCOutMulticastPkts] => 0
  1043.             [ifHCOutBroadcastPkts] => 0
  1044.             [ifAlias] => Port 2
  1045.             [ifIndex] => 2
  1046.             [ifDescr] => Port 2
  1047.             [ifType] => ethernetCsmacd
  1048.             [ifSpeed] => 0
  1049.             [ifAdminStatus] => up
  1050.             [ifOperStatus] => down
  1051.             [ifInOctets] => 0
  1052.             [ifInUcastPkts] => 0
  1053.             [ifInNUcastPkts] => 0
  1054.             [ifInDiscards] => 0
  1055.             [ifInErrors] => 0
  1056.             [ifOutOctets] => 0
  1057.             [ifOutUcastPkts] => 0
  1058.             [ifOutNUcastPkts] => 0
  1059.             [ifOutDiscards] => 0
  1060.             [ifOutErrors] => 0
  1061.         )
  1062.  
  1063.     [3] => Array
  1064.         (
  1065.             [ifName] => Port 3
  1066.             [ifInMulticastPkts] => 25535
  1067.             [ifInBroadcastPkts] => 37565
  1068.             [ifOutMulticastPkts] => 565866
  1069.             [ifOutBroadcastPkts] => 5316377
  1070.             [ifHCInOctets] => 31086041588
  1071.             [ifHCInUcastPkts] => 163348419
  1072.             [ifHCInMulticastPkts] => 25535
  1073.             [ifHCInBroadcastPkts] => 37565
  1074.             [ifHCOutOctets] => 408586024958
  1075.             [ifHCOutUcastPkts] => 308422183
  1076.             [ifHCOutMulticastPkts] => 565866
  1077.             [ifHCOutBroadcastPkts] => 5316377
  1078.             [ifAlias] => CMP-BH13 CMPCRG
  1079.             [ifIndex] => 3
  1080.             [ifDescr] => Port 3
  1081.             [ifType] => ethernetCsmacd
  1082.             [ifSpeed] => 1000000000
  1083.             [ifAdminStatus] => up
  1084.             [ifOperStatus] => up
  1085.             [ifInOctets] => 1021270516
  1086.             [ifInUcastPkts] => 163348419
  1087.             [ifInNUcastPkts] => 63100
  1088.             [ifInDiscards] => 0
  1089.             [ifInErrors] => 0
  1090.             [ifOutOctets] => 564131838
  1091.             [ifOutUcastPkts] => 308422183
  1092.             [ifOutNUcastPkts] => 5882243
  1093.             [ifOutDiscards] => 0
  1094.             [ifOutErrors] => 0
  1095.         )
  1096.  
  1097.     [4] => Array
  1098.         (
  1099.             [ifName] => Port 4
  1100.             [ifInMulticastPkts] => 442723
  1101.             [ifInBroadcastPkts] => 278669
  1102.             [ifOutMulticastPkts] => 398730
  1103.             [ifOutBroadcastPkts] => 5075274
  1104.             [ifHCInOctets] => 110659241529
  1105.             [ifHCInUcastPkts] => 656089770
  1106.             [ifHCInMulticastPkts] => 442723
  1107.             [ifHCInBroadcastPkts] => 278669
  1108.             [ifHCOutOctets] => 1497788529416
  1109.             [ifHCOutUcastPkts] => 1161296052
  1110.             [ifHCOutMulticastPkts] => 398730
  1111.             [ifHCOutBroadcastPkts] => 5075274
  1112.             [ifAlias] => CMP-BH15 CMPGVL
  1113.             [ifIndex] => 4
  1114.             [ifDescr] => Port 4
  1115.             [ifType] => ethernetCsmacd
  1116.             [ifSpeed] => 1000000000
  1117.             [ifAdminStatus] => up
  1118.             [ifOperStatus] => up
  1119.             [ifInOctets] => 3285059129
  1120.             [ifInUcastPkts] => 656089770
  1121.             [ifInNUcastPkts] => 721392
  1122.             [ifInDiscards] => 3
  1123.             [ifInErrors] => 0
  1124.             [ifOutOctets] => 3139910408
  1125.             [ifOutUcastPkts] => 1161296052
  1126.             [ifOutNUcastPkts] => 5474004
  1127.             [ifOutDiscards] => 0
  1128.             [ifOutErrors] => 0
  1129.         )
  1130.  
  1131.     [5] => Array
  1132.         (
  1133.             [ifName] => Port 5
  1134.             [ifInMulticastPkts] => 29897
  1135.             [ifInBroadcastPkts] => 36738
  1136.             [ifOutMulticastPkts] => 558733
  1137.             [ifOutBroadcastPkts] => 5317205
  1138.             [ifHCInOctets] => 6361504935
  1139.             [ifHCInUcastPkts] => 42512093
  1140.             [ifHCInMulticastPkts] => 29897
  1141.             [ifHCInBroadcastPkts] => 36738
  1142.             [ifHCOutOctets] => 95591715304
  1143.             [ifHCOutUcastPkts] => 72245362
  1144.             [ifHCOutMulticastPkts] => 558733
  1145.             [ifHCOutBroadcastPkts] => 5317205
  1146.             [ifAlias] => CMP-BH12 CMPHUM
  1147.             [ifIndex] => 5
  1148.             [ifDescr] => Port 5
  1149.             [ifType] => ethernetCsmacd
  1150.             [ifSpeed] => 1000000000
  1151.             [ifAdminStatus] => up
  1152.             [ifOperStatus] => up
  1153.             [ifInOctets] => 2066537639
  1154.             [ifInUcastPkts] => 42512093
  1155.             [ifInNUcastPkts] => 66635
  1156.             [ifInDiscards] => 0
  1157.             [ifInErrors] => 0
  1158.             [ifOutOctets] => 1102434792
  1159.             [ifOutUcastPkts] => 72245362
  1160.             [ifOutNUcastPkts] => 5875938
  1161.             [ifOutDiscards] => 0
  1162.             [ifOutErrors] => 0
  1163.         )
  1164.  
  1165.     [6] => Array
  1166.         (
  1167.             [ifName] => Port 6
  1168.             [ifInMulticastPkts] => 0
  1169.             [ifInBroadcastPkts] => 0
  1170.             [ifOutMulticastPkts] => 0
  1171.             [ifOutBroadcastPkts] => 0
  1172.             [ifHCInOctets] => 0
  1173.             [ifHCInUcastPkts] => 0
  1174.             [ifHCInMulticastPkts] => 0
  1175.             [ifHCInBroadcastPkts] => 0
  1176.             [ifHCOutOctets] => 0
  1177.             [ifHCOutUcastPkts] => 0
  1178.             [ifHCOutMulticastPkts] => 0
  1179.             [ifHCOutBroadcastPkts] => 0
  1180.             [ifAlias] => Port 6
  1181.             [ifIndex] => 6
  1182.             [ifDescr] => Port 6
  1183.             [ifType] => ethernetCsmacd
  1184.             [ifSpeed] => 0
  1185.             [ifAdminStatus] => up
  1186.             [ifOperStatus] => down
  1187.             [ifInOctets] => 0
  1188.             [ifInUcastPkts] => 0
  1189.             [ifInNUcastPkts] => 0
  1190.             [ifInDiscards] => 0
  1191.             [ifInErrors] => 0
  1192.             [ifOutOctets] => 0
  1193.             [ifOutUcastPkts] => 0
  1194.             [ifOutNUcastPkts] => 0
  1195.             [ifOutDiscards] => 0
  1196.             [ifOutErrors] => 0
  1197.         )
  1198.  
  1199.     [7] => Array
  1200.         (
  1201.             [ifName] => Port 7
  1202.             [ifInMulticastPkts] => 3648008
  1203.             [ifInBroadcastPkts] => 5574
  1204.             [ifOutMulticastPkts] => 582378
  1205.             [ifOutBroadcastPkts] => 5337613
  1206.             [ifHCInOctets] => 8999791147
  1207.             [ifHCInUcastPkts] => 35267573
  1208.             [ifHCInMulticastPkts] => 3648008
  1209.             [ifHCInBroadcastPkts] => 5574
  1210.             [ifHCOutOctets] => 85886426211
  1211.             [ifHCOutUcastPkts] => 66811819
  1212.             [ifHCOutMulticastPkts] => 582378
  1213.             [ifHCOutBroadcastPkts] => 5337613
  1214.             [ifAlias] => CMP-AP21
  1215.             [ifIndex] => 7
  1216.             [ifDescr] => Port 7
  1217.             [ifType] => ethernetCsmacd
  1218.             [ifSpeed] => 1000000000
  1219.             [ifAdminStatus] => up
  1220.             [ifOperStatus] => up
  1221.             [ifInOctets] => 409856555
  1222.             [ifInUcastPkts] => 35267573
  1223.             [ifInNUcastPkts] => 3653582
  1224.             [ifInDiscards] => 0
  1225.             [ifInErrors] => 0
  1226.             [ifOutOctets] => 4282047587
  1227.             [ifOutUcastPkts] => 66811819
  1228.             [ifOutNUcastPkts] => 5919991
  1229.             [ifOutDiscards] => 0
  1230.             [ifOutErrors] => 0
  1231.         )
  1232.  
  1233.     [8] => Array
  1234.         (
  1235.             [ifName] => Port 8
  1236.             [ifInMulticastPkts] => 24
  1237.             [ifInBroadcastPkts] => 452
  1238.             [ifOutMulticastPkts] => 582376
  1239.             [ifOutBroadcastPkts] => 5337614
  1240.             [ifHCInOctets] => 14120193
  1241.             [ifHCInUcastPkts] => 103175
  1242.             [ifHCInMulticastPkts] => 24
  1243.             [ifHCInBroadcastPkts] => 452
  1244.             [ifHCOutOctets] => 535644963
  1245.             [ifHCOutUcastPkts] => 135704
  1246.             [ifHCOutMulticastPkts] => 582376
  1247.             [ifHCOutBroadcastPkts] => 5337614
  1248.             [ifAlias] => CMP-AP22
  1249.             [ifIndex] => 8
  1250.             [ifDescr] => Port 8
  1251.             [ifType] => ethernetCsmacd
  1252.             [ifSpeed] => 1000000000
  1253.             [ifAdminStatus] => up
  1254.             [ifOperStatus] => up
  1255.             [ifInOctets] => 14120193
  1256.             [ifInUcastPkts] => 103175
  1257.             [ifInNUcastPkts] => 476
  1258.             [ifInDiscards] => 0
  1259.             [ifInErrors] => 0
  1260.             [ifOutOctets] => 535644963
  1261.             [ifOutUcastPkts] => 135704
  1262.             [ifOutNUcastPkts] => 5919990
  1263.             [ifOutDiscards] => 0
  1264.             [ifOutErrors] => 0
  1265.         )
  1266.  
  1267.     [9] => Array
  1268.         (
  1269.             [ifName] => Port 9
  1270.             [ifInMulticastPkts] => 1084
  1271.             [ifInBroadcastPkts] => 10304
  1272.             [ifOutMulticastPkts] => 582373
  1273.             [ifOutBroadcastPkts] => 5337593
  1274.             [ifHCInOctets] => 2471420183
  1275.             [ifHCInUcastPkts] => 10144770
  1276.             [ifHCInMulticastPkts] => 1084
  1277.             [ifHCInBroadcastPkts] => 10304
  1278.             [ifHCOutOctets] => 11713148570
  1279.             [ifHCOutUcastPkts] => 12131722
  1280.             [ifHCOutMulticastPkts] => 582373
  1281.             [ifHCOutBroadcastPkts] => 5337593
  1282.             [ifAlias] => CMP-AP23
  1283.             [ifIndex] => 9
  1284.             [ifDescr] => Port 9
  1285.             [ifType] => ethernetCsmacd
  1286.             [ifSpeed] => 1000000000
  1287.             [ifAdminStatus] => up
  1288.             [ifOperStatus] => up
  1289.             [ifInOctets] => 2471420183
  1290.             [ifInUcastPkts] => 10144770
  1291.             [ifInNUcastPkts] => 11388
  1292.             [ifInDiscards] => 0
  1293.             [ifInErrors] => 0
  1294.             [ifOutOctets] => 3123213978
  1295.             [ifOutUcastPkts] => 12131722
  1296.             [ifOutNUcastPkts] => 5919966
  1297.             [ifOutDiscards] => 0
  1298.             [ifOutErrors] => 0
  1299.         )
  1300.  
  1301.     [10] => Array
  1302.         (
  1303.             [ifName] => Port 10
  1304.             [ifInMulticastPkts] => 11336
  1305.             [ifInBroadcastPkts] => 5685
  1306.             [ifOutMulticastPkts] => 371744
  1307.             [ifOutBroadcastPkts] => 3831386
  1308.             [ifHCInOctets] => 532592678
  1309.             [ifHCInUcastPkts] => 4410266
  1310.             [ifHCInMulticastPkts] => 11336
  1311.             [ifHCInBroadcastPkts] => 5685
  1312.             [ifHCOutOctets] => 874197546
  1313.             [ifHCOutUcastPkts] => 4910710
  1314.             [ifHCOutMulticastPkts] => 371744
  1315.             [ifHCOutBroadcastPkts] => 3831386
  1316.             [ifAlias] => Management
  1317.             [ifIndex] => 10
  1318.             [ifDescr] => Port 10
  1319.             [ifType] => ethernetCsmacd
  1320.             [ifSpeed] => 1000000000
  1321.             [ifAdminStatus] => up
  1322.             [ifOperStatus] => up
  1323.             [ifInOctets] => 532592678
  1324.             [ifInUcastPkts] => 4410266
  1325.             [ifInNUcastPkts] => 17021
  1326.             [ifInDiscards] => 0
  1327.             [ifInErrors] => 0
  1328.             [ifOutOctets] => 874197546
  1329.             [ifOutUcastPkts] => 4910710
  1330.             [ifOutNUcastPkts] => 4203130
  1331.             [ifOutDiscards] => 0
  1332.             [ifOutErrors] => 0
  1333.         )
  1334.  
  1335.     [11] => Array
  1336.         (
  1337.             [ifName] => Port 11
  1338.             [ifInMulticastPkts] => 0
  1339.             [ifInBroadcastPkts] => 0
  1340.             [ifOutMulticastPkts] => 0
  1341.             [ifOutBroadcastPkts] => 0
  1342.             [ifHCInOctets] => 0
  1343.             [ifHCInUcastPkts] => 0
  1344.             [ifHCInMulticastPkts] => 0
  1345.             [ifHCInBroadcastPkts] => 0
  1346.             [ifHCOutOctets] => 0
  1347.             [ifHCOutUcastPkts] => 0
  1348.             [ifHCOutMulticastPkts] => 0
  1349.             [ifHCOutBroadcastPkts] => 0
  1350.             [ifAlias] => Port 11
  1351.             [ifIndex] => 11
  1352.             [ifDescr] => Port 11
  1353.             [ifType] => ethernetCsmacd
  1354.             [ifSpeed] => 0
  1355.             [ifAdminStatus] => up
  1356.             [ifOperStatus] => down
  1357.             [ifInOctets] => 0
  1358.             [ifInUcastPkts] => 0
  1359.             [ifInNUcastPkts] => 0
  1360.             [ifInDiscards] => 0
  1361.             [ifInErrors] => 0
  1362.             [ifOutOctets] => 0
  1363.             [ifOutUcastPkts] => 0
  1364.             [ifOutNUcastPkts] => 0
  1365.             [ifOutDiscards] => 0
  1366.             [ifOutErrors] => 0
  1367.         )
  1368.  
  1369.     [12] => Array
  1370.         (
  1371.             [ifName] => Port 12
  1372.             [ifInMulticastPkts] => 0
  1373.             [ifInBroadcastPkts] => 0
  1374.             [ifOutMulticastPkts] => 0
  1375.             [ifOutBroadcastPkts] => 0
  1376.             [ifHCInOctets] => 0
  1377.             [ifHCInUcastPkts] => 0
  1378.             [ifHCInMulticastPkts] => 0
  1379.             [ifHCInBroadcastPkts] => 0
  1380.             [ifHCOutOctets] => 0
  1381.             [ifHCOutUcastPkts] => 0
  1382.             [ifHCOutMulticastPkts] => 0
  1383.             [ifHCOutBroadcastPkts] => 0
  1384.             [ifAlias] => Port 12
  1385.             [ifIndex] => 12
  1386.             [ifDescr] => Port 12
  1387.             [ifType] => ethernetCsmacd
  1388.             [ifSpeed] => 0
  1389.             [ifAdminStatus] => up
  1390.             [ifOperStatus] => down
  1391.             [ifInOctets] => 0
  1392.             [ifInUcastPkts] => 0
  1393.             [ifInNUcastPkts] => 0
  1394.             [ifInDiscards] => 0
  1395.             [ifInErrors] => 0
  1396.             [ifOutOctets] => 0
  1397.             [ifOutUcastPkts] => 0
  1398.             [ifOutNUcastPkts] => 0
  1399.             [ifOutDiscards] => 0
  1400.             [ifOutErrors] => 0
  1401.         )
  1402.  
  1403.     [13] => Array
  1404.         (
  1405.             [ifName] => Port 13
  1406.             [ifInMulticastPkts] => 0
  1407.             [ifInBroadcastPkts] => 0
  1408.             [ifOutMulticastPkts] => 0
  1409.             [ifOutBroadcastPkts] => 0
  1410.             [ifHCInOctets] => 0
  1411.             [ifHCInUcastPkts] => 0
  1412.             [ifHCInMulticastPkts] => 0
  1413.             [ifHCInBroadcastPkts] => 0
  1414.             [ifHCOutOctets] => 0
  1415.             [ifHCOutUcastPkts] => 0
  1416.             [ifHCOutMulticastPkts] => 0
  1417.             [ifHCOutBroadcastPkts] => 0
  1418.             [ifAlias] => SFP1
  1419.             [ifIndex] => 13
  1420.             [ifDescr] => Port 13
  1421.             [ifType] => ethernetCsmacd
  1422.             [ifSpeed] => 0
  1423.             [ifAdminStatus] => up
  1424.             [ifOperStatus] => down
  1425.             [ifInOctets] => 0
  1426.             [ifInUcastPkts] => 0
  1427.             [ifInNUcastPkts] => 0
  1428.             [ifInDiscards] => 0
  1429.             [ifInErrors] => 0
  1430.             [ifOutOctets] => 0
  1431.             [ifOutUcastPkts] => 0
  1432.             [ifOutNUcastPkts] => 0
  1433.             [ifOutDiscards] => 0
  1434.             [ifOutErrors] => 0
  1435.         )
  1436.  
  1437.     [14] => Array
  1438.         (
  1439.             [ifName] => Port 14
  1440.             [ifInMulticastPkts] => 0
  1441.             [ifInBroadcastPkts] => 0
  1442.             [ifOutMulticastPkts] => 0
  1443.             [ifOutBroadcastPkts] => 0
  1444.             [ifHCInOctets] => 0
  1445.             [ifHCInUcastPkts] => 0
  1446.             [ifHCInMulticastPkts] => 0
  1447.             [ifHCInBroadcastPkts] => 0
  1448.             [ifHCOutOctets] => 0
  1449.             [ifHCOutUcastPkts] => 0
  1450.             [ifHCOutMulticastPkts] => 0
  1451.             [ifHCOutBroadcastPkts] => 0
  1452.             [ifAlias] => SFP2
  1453.             [ifIndex] => 14
  1454.             [ifDescr] => Port 14
  1455.             [ifType] => ethernetCsmacd
  1456.             [ifSpeed] => 0
  1457.             [ifAdminStatus] => up
  1458.             [ifOperStatus] => down
  1459.             [ifInOctets] => 0
  1460.             [ifInUcastPkts] => 0
  1461.             [ifInNUcastPkts] => 0
  1462.             [ifInDiscards] => 0
  1463.             [ifInErrors] => 0
  1464.             [ifOutOctets] => 0
  1465.             [ifOutUcastPkts] => 0
  1466.             [ifOutNUcastPkts] => 0
  1467.             [ifOutDiscards] => 0
  1468.             [ifOutErrors] => 0
  1469.         )
  1470.  
  1471. )
  1472. SQL[SELECT `name` FROM `port_association_mode` WHERE pom_id = '1']
  1473. SQL[SELECT *, `ports_statistics`.`port_id` AS `ports_statistics_port_id`, `ports`.`port_id` AS `port_id` FROM `ports` LEFT OUTER JOIN `ports_statistics` ON `ports`.`port_id` = `ports_statistics`.`port_id` WHERE `ports`.`device_id` = '25' ORDER BY ports.port_id]
  1474. validvalidvalidvalidvalidvalidvalidvalidvalidvalidvalidvalidvalidvalid
  1475. Port Port 1: Port 1 (1 / #111) ifHCInOctets ifHCOutOctets ifHCInUcastPkts ifHCOutUcastPkts ifHCInBroadcastPkts ifHCOutBroadcastPkts ifHCInMulticastPkts ifHCOutMulticastPkts ifSpeed VLAN == CMP-BH14 AF
  1476.  ifInOctets (1324764962 B) 5236225.1462451 Bps 253 secs
  1477.  
  1478.  ifOutOctets (146871118 B) 580518.25296443 Bps 253 secs
  1479.  
  1480.  ifInErrors (0 B) 0 Bps 253 secs
  1481.  
  1482.  ifOutErrors (0 B) 0 Bps 253 secs
  1483.  
  1484.  ifInUcastPkts (1068566 B) 4223.581027668 Bps 253 secs
  1485.  
  1486.  ifOutUcastPkts (682856 B) 2699.0355731225 Bps 253 secs
  1487.  
  1488.  ifInNUcastPkts (8677 B) 34.296442687747 Bps 253 secs
  1489.  
  1490.  ifOutNUcastPkts (315 B) 1.2450592885375 Bps 253 secs
  1491.  
  1492.  ifInDiscards (0 B) 0 Bps 253 secs
  1493.  
  1494.  ifOutDiscards (0 B) 0 Bps 253 secs
  1495.  
  1496.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1497.  
  1498.  ifInBroadcastPkts (8366 B) 33.067193675889 Bps 253 secs
  1499.  
  1500.  ifOutBroadcastPkts (186 B) 0.73517786561265 Bps 253 secs
  1501.  
  1502.  ifInMulticastPkts (311 B) 1.2292490118577 Bps 253 secs
  1503.  
  1504.  ifOutMulticastPkts (129 B) 0.5098814229249 Bps 253 secs
  1505. bps(41.890Mbps/4.640Mbps)bytes(1.230GB/140.070MB)pkts(4.220kpps/2.700kpps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id111.rrd N:2098118229022:161132680363:22:0:1626875123:913148497:5545914:635697:1212:0:U:4978314:375404:567600:260293]
  1506. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='2098118229022',`ifInOctets_prev` ='2096793464060',`ifInOctets_rate` ='5236225.1462451',`ifInOctets_delta` ='1324764962',`ifOutOctets` ='161132680363',`ifOutOctets_prev` ='160985809245',`ifOutOctets_rate` ='580518.25296443',`ifOutOctets_delta` ='146871118',`ifInErrors` ='22',`ifInErrors_prev` ='22',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='1626875123',`ifInUcastPkts_prev` ='1625806557',`ifInUcastPkts_rate` ='4223.581027668',`ifInUcastPkts_delta` ='1068566',`ifOutUcastPkts` ='913148497',`ifOutUcastPkts_prev` ='912465641',`ifOutUcastPkts_rate` ='2699.0355731225',`ifOutUcastPkts_delta` ='682856' WHERE `port_id` = '111']
  1507. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='5545914',`ifInNUcastPkts_prev` ='5537237',`ifInNUcastPkts_rate` ='34.296442687747',`ifInNUcastPkts_delta` ='8677',`ifOutNUcastPkts` ='635697',`ifOutNUcastPkts_prev` ='635382',`ifOutNUcastPkts_rate` ='1.2450592885375',`ifOutNUcastPkts_delta` ='315',`ifInDiscards` ='1212',`ifInDiscards_prev` ='1212',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='4978314',`ifInBroadcastPkts_prev` ='4969948',`ifInBroadcastPkts_rate` ='33.067193675889',`ifInBroadcastPkts_delta` ='8366',`ifOutBroadcastPkts` ='375404',`ifOutBroadcastPkts_prev` ='375218',`ifOutBroadcastPkts_rate` ='0.73517786561265',`ifOutBroadcastPkts_delta` ='186',`ifInMulticastPkts` ='567600',`ifInMulticastPkts_prev` ='567289',`ifInMulticastPkts_rate` ='1.2292490118577',`ifInMulticastPkts_delta` ='311',`ifOutMulticastPkts` ='260293',`ifOutMulticastPkts_prev` ='260164',`ifOutMulticastPkts_rate` ='0.5098814229249',`ifOutMulticastPkts_delta` ='129' WHERE `port_id` = '111']
  1508. 2 updated
  1509. Port Port 2: Port 2 (2 / #112) ifInOctets ifOutOctets ifInUcastPkts ifOutUcastPkts ifInBroadcastPkts ifOutBroadcastPkts ifInMulticastPkts ifOutMulticastPkts ifSpeed VLAN == Port 2
  1510.  ifInOctets (0 B) 0 Bps 253 secs
  1511.  
  1512.  ifOutOctets (0 B) 0 Bps 253 secs
  1513.  
  1514.  ifInErrors (0 B) 0 Bps 253 secs
  1515.  
  1516.  ifOutErrors (0 B) 0 Bps 253 secs
  1517.  
  1518.  ifInUcastPkts (0 B) 0 Bps 253 secs
  1519.  
  1520.  ifOutUcastPkts (0 B) 0 Bps 253 secs
  1521.  
  1522.  ifInNUcastPkts (0 B) 0 Bps 253 secs
  1523.  
  1524.  ifOutNUcastPkts (0 B) 0 Bps 253 secs
  1525.  
  1526.  ifInDiscards (0 B) 0 Bps 253 secs
  1527.  
  1528.  ifOutDiscards (0 B) 0 Bps 253 secs
  1529.  
  1530.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1531.  
  1532.  ifInBroadcastPkts (0 B) 0 Bps 253 secs
  1533.  
  1534.  ifOutBroadcastPkts (0 B) 0 Bps 253 secs
  1535.  
  1536.  ifInMulticastPkts (0 B) 0 Bps 253 secs
  1537.  
  1538.  ifOutMulticastPkts (0 B) 0 Bps 253 secs
  1539. bps(0.000bps/0.000bps)bytes(0.000B/0.000B)pkts(0.000pps/0.000pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id112.rrd N:0:0:0:0:0:0:0:0:0:0:U:0:0:0:0]
  1540. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='0',`ifInOctets_prev` ='0',`ifInOctets_rate` ='0',`ifInOctets_delta` ='0',`ifOutOctets` ='0',`ifOutOctets_prev` ='0',`ifOutOctets_rate` ='0',`ifOutOctets_delta` ='0',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='0',`ifInUcastPkts_prev` ='0',`ifInUcastPkts_rate` ='0',`ifInUcastPkts_delta` ='0',`ifOutUcastPkts` ='0',`ifOutUcastPkts_prev` ='0',`ifOutUcastPkts_rate` ='0',`ifOutUcastPkts_delta` ='0' WHERE `port_id` = '112']
  1541. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='0',`ifInNUcastPkts_prev` ='0',`ifInNUcastPkts_rate` ='0',`ifInNUcastPkts_delta` ='0',`ifOutNUcastPkts` ='0',`ifOutNUcastPkts_prev` ='0',`ifOutNUcastPkts_rate` ='0',`ifOutNUcastPkts_delta` ='0',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='0',`ifInBroadcastPkts_prev` ='0',`ifInBroadcastPkts_rate` ='0',`ifInBroadcastPkts_delta` ='0',`ifOutBroadcastPkts` ='0',`ifOutBroadcastPkts_prev` ='0',`ifOutBroadcastPkts_rate` ='0',`ifOutBroadcastPkts_delta` ='0',`ifInMulticastPkts` ='0',`ifInMulticastPkts_prev` ='0',`ifInMulticastPkts_rate` ='0',`ifInMulticastPkts_delta` ='0',`ifOutMulticastPkts` ='0',`ifOutMulticastPkts_prev` ='0',`ifOutMulticastPkts_rate` ='0',`ifOutMulticastPkts_delta` ='0' WHERE `port_id` = '112']
  1542. 1 updated
  1543. Port Port 3: Port 3 (3 / #113) ifHCInOctets ifHCOutOctets ifHCInUcastPkts ifHCOutUcastPkts ifHCInBroadcastPkts ifHCOutBroadcastPkts ifHCInMulticastPkts ifHCOutMulticastPkts ifSpeed VLAN == CMP-BH13 CMPCRG
  1544.  ifInOctets (13207004 B) 52201.596837945 Bps 253 secs
  1545.  
  1546.  ifOutOctets (164264196 B) 649265.59683794 Bps 253 secs
  1547.  
  1548.  ifInErrors (0 B) 0 Bps 253 secs
  1549.  
  1550.  ifOutErrors (0 B) 0 Bps 253 secs
  1551.  
  1552.  ifInUcastPkts (72413 B) 286.21739130435 Bps 253 secs
  1553.  
  1554.  ifOutUcastPkts (142754 B) 564.24505928854 Bps 253 secs
  1555.  
  1556.  ifInNUcastPkts (27 B) 0.10671936758893 Bps 253 secs
  1557.  
  1558.  ifOutNUcastPkts (8839 B) 34.936758893281 Bps 253 secs
  1559.  
  1560.  ifInDiscards (0 B) 0 Bps 253 secs
  1561.  
  1562.  ifOutDiscards (0 B) 0 Bps 253 secs
  1563.  
  1564.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1565.  
  1566.  ifInBroadcastPkts (14 B) 0.055335968379447 Bps 253 secs
  1567.  
  1568.  ifOutBroadcastPkts (8538 B) 33.747035573123 Bps 253 secs
  1569.  
  1570.  ifInMulticastPkts (13 B) 0.051383399209486 Bps 253 secs
  1571.  
  1572.  ifOutMulticastPkts (301 B) 1.1897233201581 Bps 253 secs
  1573. bps(417.610kbps/5.190Mbps)bytes(12.600MB/156.650MB)pkts(286.220pps/564.250pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id113.rrd N:31086041588:408586024958:0:0:163348419:308422183:63100:5882243:0:0:U:37565:5316377:25535:565866]
  1574. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='31086041588',`ifInOctets_prev` ='31072834584',`ifInOctets_rate` ='52201.596837945',`ifInOctets_delta` ='13207004',`ifOutOctets` ='408586024958',`ifOutOctets_prev` ='408421760762',`ifOutOctets_rate` ='649265.59683794',`ifOutOctets_delta` ='164264196',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='163348419',`ifInUcastPkts_prev` ='163276006',`ifInUcastPkts_rate` ='286.21739130435',`ifInUcastPkts_delta` ='72413',`ifOutUcastPkts` ='308422183',`ifOutUcastPkts_prev` ='308279429',`ifOutUcastPkts_rate` ='564.24505928854',`ifOutUcastPkts_delta` ='142754' WHERE `port_id` = '113']
  1575. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='63100',`ifInNUcastPkts_prev` ='63073',`ifInNUcastPkts_rate` ='0.10671936758893',`ifInNUcastPkts_delta` ='27',`ifOutNUcastPkts` ='5882243',`ifOutNUcastPkts_prev` ='5873404',`ifOutNUcastPkts_rate` ='34.936758893281',`ifOutNUcastPkts_delta` ='8839',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='37565',`ifInBroadcastPkts_prev` ='37551',`ifInBroadcastPkts_rate` ='0.055335968379447',`ifInBroadcastPkts_delta` ='14',`ifOutBroadcastPkts` ='5316377',`ifOutBroadcastPkts_prev` ='5307839',`ifOutBroadcastPkts_rate` ='33.747035573123',`ifOutBroadcastPkts_delta` ='8538',`ifInMulticastPkts` ='25535',`ifInMulticastPkts_prev` ='25522',`ifInMulticastPkts_rate` ='0.051383399209486',`ifInMulticastPkts_delta` ='13',`ifOutMulticastPkts` ='565866',`ifOutMulticastPkts_prev` ='565565',`ifOutMulticastPkts_rate` ='1.1897233201581',`ifOutMulticastPkts_delta` ='301' WHERE `port_id` = '113']
  1576. 2 updated
  1577. Port Port 4: Port 4 (4 / #114) ifHCInOctets ifHCOutOctets ifHCInUcastPkts ifHCOutUcastPkts ifHCInBroadcastPkts ifHCOutBroadcastPkts ifHCInMulticastPkts ifHCOutMulticastPkts ifSpeed VLAN == CMP-BH15 CMPGVL
  1578.  ifInOctets (88378330 B) 349321.46245059 Bps 253 secs
  1579.  
  1580.  ifOutOctets (756597562 B) 2990504.1976285 Bps 253 secs
  1581.  
  1582.  ifInErrors (0 B) 0 Bps 253 secs
  1583.  
  1584.  ifOutErrors (0 B) 0 Bps 253 secs
  1585.  
  1586.  ifInUcastPkts (403417 B) 1594.5335968379 Bps 253 secs
  1587.  
  1588.  ifOutUcastPkts (613693 B) 2425.6640316206 Bps 253 secs
  1589.  
  1590.  ifInNUcastPkts (345 B) 1.3636363636364 Bps 253 secs
  1591.  
  1592.  ifOutNUcastPkts (8647 B) 34.177865612648 Bps 253 secs
  1593.  
  1594.  ifInDiscards (0 B) 0 Bps 253 secs
  1595.  
  1596.  ifOutDiscards (0 B) 0 Bps 253 secs
  1597.  
  1598.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1599.  
  1600.  ifInBroadcastPkts (125 B) 0.49407114624506 Bps 253 secs
  1601.  
  1602.  ifOutBroadcastPkts (8427 B) 33.308300395257 Bps 253 secs
  1603.  
  1604.  ifInMulticastPkts (220 B) 0.8695652173913 Bps 253 secs
  1605.  
  1606.  ifOutMulticastPkts (220 B) 0.8695652173913 Bps 253 secs
  1607. bps(2.790Mbps/23.920Mbps)bytes(84.280MB/721.550MB)pkts(1.590kpps/2.430kpps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id114.rrd N:110659241529:1497788529416:0:0:656089770:1161296052:721392:5474004:3:0:U:278669:5075274:442723:398730]
  1608. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='110659241529',`ifInOctets_prev` ='110570863199',`ifInOctets_rate` ='349321.46245059',`ifInOctets_delta` ='88378330',`ifOutOctets` ='1497788529416',`ifOutOctets_prev` ='1497031931854',`ifOutOctets_rate` ='2990504.1976285',`ifOutOctets_delta` ='756597562',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='656089770',`ifInUcastPkts_prev` ='655686353',`ifInUcastPkts_rate` ='1594.5335968379',`ifInUcastPkts_delta` ='403417',`ifOutUcastPkts` ='1161296052',`ifOutUcastPkts_prev` ='1160682359',`ifOutUcastPkts_rate` ='2425.6640316206',`ifOutUcastPkts_delta` ='613693' WHERE `port_id` = '114']
  1609. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='721392',`ifInNUcastPkts_prev` ='721047',`ifInNUcastPkts_rate` ='1.3636363636364',`ifInNUcastPkts_delta` ='345',`ifOutNUcastPkts` ='5474004',`ifOutNUcastPkts_prev` ='5465357',`ifOutNUcastPkts_rate` ='34.177865612648',`ifOutNUcastPkts_delta` ='8647',`ifInDiscards` ='3',`ifInDiscards_prev` ='3',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='278669',`ifInBroadcastPkts_prev` ='278544',`ifInBroadcastPkts_rate` ='0.49407114624506',`ifInBroadcastPkts_delta` ='125',`ifOutBroadcastPkts` ='5075274',`ifOutBroadcastPkts_prev` ='5066847',`ifOutBroadcastPkts_rate` ='33.308300395257',`ifOutBroadcastPkts_delta` ='8427',`ifInMulticastPkts` ='442723',`ifInMulticastPkts_prev` ='442503',`ifInMulticastPkts_rate` ='0.8695652173913',`ifInMulticastPkts_delta` ='220',`ifOutMulticastPkts` ='398730',`ifOutMulticastPkts_prev` ='398510',`ifOutMulticastPkts_rate` ='0.8695652173913',`ifOutMulticastPkts_delta` ='220' WHERE `port_id` = '114']
  1610. 2 updated
  1611. Port Port 5: Port 5 (5 / #115) ifHCInOctets ifHCOutOctets ifHCInUcastPkts ifHCOutUcastPkts ifHCInBroadcastPkts ifHCOutBroadcastPkts ifHCInMulticastPkts ifHCOutMulticastPkts ifSpeed VLAN == CMP-BH12 CMPHUM
  1612.  ifInOctets (5776190 B) 22830.790513834 Bps 253 secs
  1613.  
  1614.  ifOutOctets (221601456 B) 875895.08300395 Bps 253 secs
  1615.  
  1616.  ifInErrors (0 B) 0 Bps 253 secs
  1617.  
  1618.  ifOutErrors (0 B) 0 Bps 253 secs
  1619.  
  1620.  ifInUcastPkts (72484 B) 286.49802371542 Bps 253 secs
  1621.  
  1622.  ifOutUcastPkts (151470 B) 598.69565217391 Bps 253 secs
  1623.  
  1624.  ifInNUcastPkts (25 B) 0.098814229249012 Bps 253 secs
  1625.  
  1626.  ifOutNUcastPkts (8841 B) 34.944664031621 Bps 253 secs
  1627.  
  1628.  ifInDiscards (0 B) 0 Bps 253 secs
  1629.  
  1630.  ifOutDiscards (0 B) 0 Bps 253 secs
  1631.  
  1632.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1633.  
  1634.  ifInBroadcastPkts (11 B) 0.043478260869565 Bps 253 secs
  1635.  
  1636.  ifOutBroadcastPkts (8541 B) 33.758893280632 Bps 253 secs
  1637.  
  1638.  ifInMulticastPkts (14 B) 0.055335968379447 Bps 253 secs
  1639.  
  1640.  ifOutMulticastPkts (300 B) 1.1857707509881 Bps 253 secs
  1641. bps(182.650kbps/7.010Mbps)bytes(5.510MB/211.340MB)pkts(286.500pps/598.700pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id115.rrd N:6361504935:95591715304:0:0:42512093:72245362:66635:5875938:0:0:U:36738:5317205:29897:558733]
  1642. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='6361504935',`ifInOctets_prev` ='6355728745',`ifInOctets_rate` ='22830.790513834',`ifInOctets_delta` ='5776190',`ifOutOctets` ='95591715304',`ifOutOctets_prev` ='95370113848',`ifOutOctets_rate` ='875895.08300395',`ifOutOctets_delta` ='221601456',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='42512093',`ifInUcastPkts_prev` ='42439609',`ifInUcastPkts_rate` ='286.49802371542',`ifInUcastPkts_delta` ='72484',`ifOutUcastPkts` ='72245362',`ifOutUcastPkts_prev` ='72093892',`ifOutUcastPkts_rate` ='598.69565217391',`ifOutUcastPkts_delta` ='151470' WHERE `port_id` = '115']
  1643. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='66635',`ifInNUcastPkts_prev` ='66610',`ifInNUcastPkts_rate` ='0.098814229249012',`ifInNUcastPkts_delta` ='25',`ifOutNUcastPkts` ='5875938',`ifOutNUcastPkts_prev` ='5867097',`ifOutNUcastPkts_rate` ='34.944664031621',`ifOutNUcastPkts_delta` ='8841',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='36738',`ifInBroadcastPkts_prev` ='36727',`ifInBroadcastPkts_rate` ='0.043478260869565',`ifInBroadcastPkts_delta` ='11',`ifOutBroadcastPkts` ='5317205',`ifOutBroadcastPkts_prev` ='5308664',`ifOutBroadcastPkts_rate` ='33.758893280632',`ifOutBroadcastPkts_delta` ='8541',`ifInMulticastPkts` ='29897',`ifInMulticastPkts_prev` ='29883',`ifInMulticastPkts_rate` ='0.055335968379447',`ifInMulticastPkts_delta` ='14',`ifOutMulticastPkts` ='558733',`ifOutMulticastPkts_prev` ='558433',`ifOutMulticastPkts_rate` ='1.1857707509881',`ifOutMulticastPkts_delta` ='300' WHERE `port_id` = '115']
  1644. 2 updated
  1645. Port Port 6: Port 6 (6 / #116) ifInOctets ifOutOctets ifInUcastPkts ifOutUcastPkts ifInBroadcastPkts ifOutBroadcastPkts ifInMulticastPkts ifOutMulticastPkts ifSpeed VLAN == Port 6
  1646.  ifInOctets (0 B) 0 Bps 253 secs
  1647.  
  1648.  ifOutOctets (0 B) 0 Bps 253 secs
  1649.  
  1650.  ifInErrors (0 B) 0 Bps 253 secs
  1651.  
  1652.  ifOutErrors (0 B) 0 Bps 253 secs
  1653.  
  1654.  ifInUcastPkts (0 B) 0 Bps 253 secs
  1655.  
  1656.  ifOutUcastPkts (0 B) 0 Bps 253 secs
  1657.  
  1658.  ifInNUcastPkts (0 B) 0 Bps 253 secs
  1659.  
  1660.  ifOutNUcastPkts (0 B) 0 Bps 253 secs
  1661.  
  1662.  ifInDiscards (0 B) 0 Bps 253 secs
  1663.  
  1664.  ifOutDiscards (0 B) 0 Bps 253 secs
  1665.  
  1666.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1667.  
  1668.  ifInBroadcastPkts (0 B) 0 Bps 253 secs
  1669.  
  1670.  ifOutBroadcastPkts (0 B) 0 Bps 253 secs
  1671.  
  1672.  ifInMulticastPkts (0 B) 0 Bps 253 secs
  1673.  
  1674.  ifOutMulticastPkts (0 B) 0 Bps 253 secs
  1675. bps(0.000bps/0.000bps)bytes(0.000B/0.000B)pkts(0.000pps/0.000pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id116.rrd N:0:0:0:0:0:0:0:0:0:0:U:0:0:0:0]
  1676. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='0',`ifInOctets_prev` ='0',`ifInOctets_rate` ='0',`ifInOctets_delta` ='0',`ifOutOctets` ='0',`ifOutOctets_prev` ='0',`ifOutOctets_rate` ='0',`ifOutOctets_delta` ='0',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='0',`ifInUcastPkts_prev` ='0',`ifInUcastPkts_rate` ='0',`ifInUcastPkts_delta` ='0',`ifOutUcastPkts` ='0',`ifOutUcastPkts_prev` ='0',`ifOutUcastPkts_rate` ='0',`ifOutUcastPkts_delta` ='0' WHERE `port_id` = '116']
  1677. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='0',`ifInNUcastPkts_prev` ='0',`ifInNUcastPkts_rate` ='0',`ifInNUcastPkts_delta` ='0',`ifOutNUcastPkts` ='0',`ifOutNUcastPkts_prev` ='0',`ifOutNUcastPkts_rate` ='0',`ifOutNUcastPkts_delta` ='0',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='0',`ifInBroadcastPkts_prev` ='0',`ifInBroadcastPkts_rate` ='0',`ifInBroadcastPkts_delta` ='0',`ifOutBroadcastPkts` ='0',`ifOutBroadcastPkts_prev` ='0',`ifOutBroadcastPkts_rate` ='0',`ifOutBroadcastPkts_delta` ='0',`ifInMulticastPkts` ='0',`ifInMulticastPkts_prev` ='0',`ifInMulticastPkts_rate` ='0',`ifInMulticastPkts_delta` ='0',`ifOutMulticastPkts` ='0',`ifOutMulticastPkts_prev` ='0',`ifOutMulticastPkts_rate` ='0',`ifOutMulticastPkts_delta` ='0' WHERE `port_id` = '116']
  1678. 1 updated
  1679. Port Port 7: Port 7 (7 / #117) ifHCInOctets ifHCOutOctets ifHCInUcastPkts ifHCOutUcastPkts ifHCInBroadcastPkts ifHCOutBroadcastPkts ifHCInMulticastPkts ifHCOutMulticastPkts ifSpeed VLAN == CMP-AP21
  1680.  ifInOctets (35546195 B) 140498.7944664 Bps 253 secs
  1681.  
  1682.  ifOutOctets (176686670 B) 698366.28458498 Bps 253 secs
  1683.  
  1684.  ifInErrors (0 B) 0 Bps 253 secs
  1685.  
  1686.  ifOutErrors (0 B) 0 Bps 253 secs
  1687.  
  1688.  ifInUcastPkts (113907 B) 450.22529644269 Bps 253 secs
  1689.  
  1690.  ifOutUcastPkts (141385 B) 558.83399209486 Bps 253 secs
  1691.  
  1692.  ifInNUcastPkts (7474 B) 29.541501976285 Bps 253 secs
  1693.  
  1694.  ifOutNUcastPkts (8830 B) 34.901185770751 Bps 253 secs
  1695.  
  1696.  ifInDiscards (0 B) 0 Bps 253 secs
  1697.  
  1698.  ifOutDiscards (0 B) 0 Bps 253 secs
  1699.  
  1700.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1701.  
  1702.  ifInBroadcastPkts (0 B) 0 Bps 253 secs
  1703.  
  1704.  ifOutBroadcastPkts (8520 B) 33.675889328063 Bps 253 secs
  1705.  
  1706.  ifInMulticastPkts (7474 B) 29.541501976285 Bps 253 secs
  1707.  
  1708.  ifOutMulticastPkts (310 B) 1.2252964426877 Bps 253 secs
  1709. bps(1.120Mbps/5.590Mbps)bytes(33.900MB/168.500MB)pkts(450.230pps/558.830pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id117.rrd N:8999791147:85886426211:0:0:35267573:66811819:3653582:5919991:0:0:U:5574:5337613:3648008:582378]
  1710. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='8999791147',`ifInOctets_prev` ='8964244952',`ifInOctets_rate` ='140498.7944664',`ifInOctets_delta` ='35546195',`ifOutOctets` ='85886426211',`ifOutOctets_prev` ='85709739541',`ifOutOctets_rate` ='698366.28458498',`ifOutOctets_delta` ='176686670',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='35267573',`ifInUcastPkts_prev` ='35153666',`ifInUcastPkts_rate` ='450.22529644269',`ifInUcastPkts_delta` ='113907',`ifOutUcastPkts` ='66811819',`ifOutUcastPkts_prev` ='66670434',`ifOutUcastPkts_rate` ='558.83399209486',`ifOutUcastPkts_delta` ='141385' WHERE `port_id` = '117']
  1711. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='3653582',`ifInNUcastPkts_prev` ='3646108',`ifInNUcastPkts_rate` ='29.541501976285',`ifInNUcastPkts_delta` ='7474',`ifOutNUcastPkts` ='5919991',`ifOutNUcastPkts_prev` ='5911161',`ifOutNUcastPkts_rate` ='34.901185770751',`ifOutNUcastPkts_delta` ='8830',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='5574',`ifInBroadcastPkts_prev` ='5574',`ifInBroadcastPkts_rate` ='0',`ifInBroadcastPkts_delta` ='0',`ifOutBroadcastPkts` ='5337613',`ifOutBroadcastPkts_prev` ='5329093',`ifOutBroadcastPkts_rate` ='33.675889328063',`ifOutBroadcastPkts_delta` ='8520',`ifInMulticastPkts` ='3648008',`ifInMulticastPkts_prev` ='3640534',`ifInMulticastPkts_rate` ='29.541501976285',`ifInMulticastPkts_delta` ='7474',`ifOutMulticastPkts` ='582378',`ifOutMulticastPkts_prev` ='582068',`ifOutMulticastPkts_rate` ='1.2252964426877',`ifOutMulticastPkts_delta` ='310' WHERE `port_id` = '117']
  1712. 2 updated
  1713. Port Port 8: Port 8 (8 / #118) ifHCInOctets ifHCOutOctets ifHCInUcastPkts ifHCOutUcastPkts ifHCInBroadcastPkts ifHCOutBroadcastPkts ifHCInMulticastPkts ifHCOutMulticastPkts ifSpeed VLAN == CMP-AP22
  1714.  ifInOctets (5370 B) 21.225296442688 Bps 253 secs
  1715.  
  1716.  ifOutOctets (639892 B) 2529.2173913043 Bps 253 secs
  1717.  
  1718.  ifInErrors (0 B) 0 Bps 253 secs
  1719.  
  1720.  ifOutErrors (0 B) 0 Bps 253 secs
  1721.  
  1722.  ifInUcastPkts (51 B) 0.20158102766798 Bps 253 secs
  1723.  
  1724.  ifOutUcastPkts (62 B) 0.24505928853755 Bps 253 secs
  1725.  
  1726.  ifInNUcastPkts (0 B) 0 Bps 253 secs
  1727.  
  1728.  ifOutNUcastPkts (8830 B) 34.901185770751 Bps 253 secs
  1729.  
  1730.  ifInDiscards (0 B) 0 Bps 253 secs
  1731.  
  1732.  ifOutDiscards (0 B) 0 Bps 253 secs
  1733.  
  1734.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1735.  
  1736.  ifInBroadcastPkts (0 B) 0 Bps 253 secs
  1737.  
  1738.  ifOutBroadcastPkts (8520 B) 33.675889328063 Bps 253 secs
  1739.  
  1740.  ifInMulticastPkts (0 B) 0 Bps 253 secs
  1741.  
  1742.  ifOutMulticastPkts (310 B) 1.2252964426877 Bps 253 secs
  1743. bps(170.000bps/20.230kbps)bytes(5.240kB/624.890kB)pkts(0.200pps/0.250pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id118.rrd N:14120193:535644963:0:0:103175:135704:476:5919990:0:0:U:452:5337614:24:582376]
  1744. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='14120193',`ifInOctets_prev` ='14114823',`ifInOctets_rate` ='21.225296442688',`ifInOctets_delta` ='5370',`ifOutOctets` ='535644963',`ifOutOctets_prev` ='535005071',`ifOutOctets_rate` ='2529.2173913043',`ifOutOctets_delta` ='639892',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='103175',`ifInUcastPkts_prev` ='103124',`ifInUcastPkts_rate` ='0.20158102766798',`ifInUcastPkts_delta` ='51',`ifOutUcastPkts` ='135704',`ifOutUcastPkts_prev` ='135642',`ifOutUcastPkts_rate` ='0.24505928853755',`ifOutUcastPkts_delta` ='62' WHERE `port_id` = '118']
  1745. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='476',`ifInNUcastPkts_prev` ='476',`ifInNUcastPkts_rate` ='0',`ifInNUcastPkts_delta` ='0',`ifOutNUcastPkts` ='5919990',`ifOutNUcastPkts_prev` ='5911160',`ifOutNUcastPkts_rate` ='34.901185770751',`ifOutNUcastPkts_delta` ='8830',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='452',`ifInBroadcastPkts_prev` ='452',`ifInBroadcastPkts_rate` ='0',`ifInBroadcastPkts_delta` ='0',`ifOutBroadcastPkts` ='5337614',`ifOutBroadcastPkts_prev` ='5329094',`ifOutBroadcastPkts_rate` ='33.675889328063',`ifOutBroadcastPkts_delta` ='8520',`ifInMulticastPkts` ='24',`ifInMulticastPkts_prev` ='24',`ifInMulticastPkts_rate` ='0',`ifInMulticastPkts_delta` ='0',`ifOutMulticastPkts` ='582376',`ifOutMulticastPkts_prev` ='582066',`ifOutMulticastPkts_rate` ='1.2252964426877',`ifOutMulticastPkts_delta` ='310' WHERE `port_id` = '118']
  1746. 2 updated
  1747. Port Port 9: Port 9 (9 / #119) ifHCInOctets ifHCOutOctets ifHCInUcastPkts ifHCOutUcastPkts ifHCInBroadcastPkts ifHCOutBroadcastPkts ifHCInMulticastPkts ifHCOutMulticastPkts ifSpeed VLAN == CMP-AP23
  1748.  ifInOctets (3941585 B) 15579.387351779 Bps 253 secs
  1749.  
  1750.  ifOutOctets (7712207 B) 30483.031620553 Bps 253 secs
  1751.  
  1752.  ifInErrors (0 B) 0 Bps 253 secs
  1753.  
  1754.  ifOutErrors (0 B) 0 Bps 253 secs
  1755.  
  1756.  ifInUcastPkts (16777 B) 66.312252964427 Bps 253 secs
  1757.  
  1758.  ifOutUcastPkts (15022 B) 59.375494071146 Bps 253 secs
  1759.  
  1760.  ifInNUcastPkts (36 B) 0.14229249011858 Bps 253 secs
  1761.  
  1762.  ifOutNUcastPkts (8830 B) 34.901185770751 Bps 253 secs
  1763.  
  1764.  ifInDiscards (0 B) 0 Bps 253 secs
  1765.  
  1766.  ifOutDiscards (0 B) 0 Bps 253 secs
  1767.  
  1768.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1769.  
  1770.  ifInBroadcastPkts (32 B) 0.12648221343874 Bps 253 secs
  1771.  
  1772.  ifOutBroadcastPkts (8520 B) 33.675889328063 Bps 253 secs
  1773.  
  1774.  ifInMulticastPkts (4 B) 0.015810276679842 Bps 253 secs
  1775.  
  1776.  ifOutMulticastPkts (310 B) 1.2252964426877 Bps 253 secs
  1777. bps(124.640kbps/243.860kbps)bytes(3.760MB/7.350MB)pkts(66.310pps/59.380pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id119.rrd N:2471420183:11713148570:0:0:10144770:12131722:11388:5919966:0:0:U:10304:5337593:1084:582373]
  1778. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='2471420183',`ifInOctets_prev` ='2467478598',`ifInOctets_rate` ='15579.387351779',`ifInOctets_delta` ='3941585',`ifOutOctets` ='11713148570',`ifOutOctets_prev` ='11705436363',`ifOutOctets_rate` ='30483.031620553',`ifOutOctets_delta` ='7712207',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='10144770',`ifInUcastPkts_prev` ='10127993',`ifInUcastPkts_rate` ='66.312252964427',`ifInUcastPkts_delta` ='16777',`ifOutUcastPkts` ='12131722',`ifOutUcastPkts_prev` ='12116700',`ifOutUcastPkts_rate` ='59.375494071146',`ifOutUcastPkts_delta` ='15022' WHERE `port_id` = '119']
  1779. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='11388',`ifInNUcastPkts_prev` ='11352',`ifInNUcastPkts_rate` ='0.14229249011858',`ifInNUcastPkts_delta` ='36',`ifOutNUcastPkts` ='5919966',`ifOutNUcastPkts_prev` ='5911136',`ifOutNUcastPkts_rate` ='34.901185770751',`ifOutNUcastPkts_delta` ='8830',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='10304',`ifInBroadcastPkts_prev` ='10272',`ifInBroadcastPkts_rate` ='0.12648221343874',`ifInBroadcastPkts_delta` ='32',`ifOutBroadcastPkts` ='5337593',`ifOutBroadcastPkts_prev` ='5329073',`ifOutBroadcastPkts_rate` ='33.675889328063',`ifOutBroadcastPkts_delta` ='8520',`ifInMulticastPkts` ='1084',`ifInMulticastPkts_prev` ='1080',`ifInMulticastPkts_rate` ='0.015810276679842',`ifInMulticastPkts_delta` ='4',`ifOutMulticastPkts` ='582373',`ifOutMulticastPkts_prev` ='582063',`ifOutMulticastPkts_rate` ='1.2252964426877',`ifOutMulticastPkts_delta` ='310' WHERE `port_id` = '119']
  1780. 2 updated
  1781. Port Port 10: Port 10 (10 / #120) ifHCInOctets ifHCOutOctets ifHCInUcastPkts ifHCOutUcastPkts ifHCInBroadcastPkts ifHCOutBroadcastPkts ifHCInMulticastPkts ifHCOutMulticastPkts ifSpeed VLAN == Management
  1782.  ifInOctets (393108 B) 1553.7865612648 Bps 253 secs
  1783.  
  1784.  ifOutOctets (1016842 B) 4019.1383399209 Bps 253 secs
  1785.  
  1786.  ifInErrors (0 B) 0 Bps 253 secs
  1787.  
  1788.  ifOutErrors (0 B) 0 Bps 253 secs
  1789.  
  1790.  ifInUcastPkts (3293 B) 13.01581027668 Bps 253 secs
  1791.  
  1792.  ifOutUcastPkts (3681 B) 14.549407114625 Bps 253 secs
  1793.  
  1794.  ifInNUcastPkts (12 B) 0.047430830039526 Bps 253 secs
  1795.  
  1796.  ifOutNUcastPkts (8858 B) 35.01185770751 Bps 253 secs
  1797.  
  1798.  ifInDiscards (0 B) 0 Bps 253 secs
  1799.  
  1800.  ifOutDiscards (0 B) 0 Bps 253 secs
  1801.  
  1802.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1803.  
  1804.  ifInBroadcastPkts (4 B) 0.015810276679842 Bps 253 secs
  1805.  
  1806.  ifOutBroadcastPkts (8548 B) 33.786561264822 Bps 253 secs
  1807.  
  1808.  ifInMulticastPkts (8 B) 0.031620553359684 Bps 253 secs
  1809.  
  1810.  ifOutMulticastPkts (310 B) 1.2252964426877 Bps 253 secs
  1811. bps(12.430kbps/32.150kbps)bytes(383.890kB/993.010kB)pkts(13.020pps/14.550pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id120.rrd N:532592678:874197546:0:0:4410266:4910710:17021:4203130:0:0:U:5685:3831386:11336:371744]
  1812. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='532592678',`ifInOctets_prev` ='532199570',`ifInOctets_rate` ='1553.7865612648',`ifInOctets_delta` ='393108',`ifOutOctets` ='874197546',`ifOutOctets_prev` ='873180704',`ifOutOctets_rate` ='4019.1383399209',`ifOutOctets_delta` ='1016842',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='4410266',`ifInUcastPkts_prev` ='4406973',`ifInUcastPkts_rate` ='13.01581027668',`ifInUcastPkts_delta` ='3293',`ifOutUcastPkts` ='4910710',`ifOutUcastPkts_prev` ='4907029',`ifOutUcastPkts_rate` ='14.549407114625',`ifOutUcastPkts_delta` ='3681' WHERE `port_id` = '120']
  1813. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='17021',`ifInNUcastPkts_prev` ='17009',`ifInNUcastPkts_rate` ='0.047430830039526',`ifInNUcastPkts_delta` ='12',`ifOutNUcastPkts` ='4203130',`ifOutNUcastPkts_prev` ='4194272',`ifOutNUcastPkts_rate` ='35.01185770751',`ifOutNUcastPkts_delta` ='8858',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='5685',`ifInBroadcastPkts_prev` ='5681',`ifInBroadcastPkts_rate` ='0.015810276679842',`ifInBroadcastPkts_delta` ='4',`ifOutBroadcastPkts` ='3831386',`ifOutBroadcastPkts_prev` ='3822838',`ifOutBroadcastPkts_rate` ='33.786561264822',`ifOutBroadcastPkts_delta` ='8548',`ifInMulticastPkts` ='11336',`ifInMulticastPkts_prev` ='11328',`ifInMulticastPkts_rate` ='0.031620553359684',`ifInMulticastPkts_delta` ='8',`ifOutMulticastPkts` ='371744',`ifOutMulticastPkts_prev` ='371434',`ifOutMulticastPkts_rate` ='1.2252964426877',`ifOutMulticastPkts_delta` ='310' WHERE `port_id` = '120']
  1814. 2 updated
  1815. Port Port 11: Port 11 (11 / #121) ifInOctets ifOutOctets ifInUcastPkts ifOutUcastPkts ifInBroadcastPkts ifOutBroadcastPkts ifInMulticastPkts ifOutMulticastPkts ifSpeed VLAN == Port 11
  1816.  ifInOctets (0 B) 0 Bps 253 secs
  1817.  
  1818.  ifOutOctets (0 B) 0 Bps 253 secs
  1819.  
  1820.  ifInErrors (0 B) 0 Bps 253 secs
  1821.  
  1822.  ifOutErrors (0 B) 0 Bps 253 secs
  1823.  
  1824.  ifInUcastPkts (0 B) 0 Bps 253 secs
  1825.  
  1826.  ifOutUcastPkts (0 B) 0 Bps 253 secs
  1827.  
  1828.  ifInNUcastPkts (0 B) 0 Bps 253 secs
  1829.  
  1830.  ifOutNUcastPkts (0 B) 0 Bps 253 secs
  1831.  
  1832.  ifInDiscards (0 B) 0 Bps 253 secs
  1833.  
  1834.  ifOutDiscards (0 B) 0 Bps 253 secs
  1835.  
  1836.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1837.  
  1838.  ifInBroadcastPkts (0 B) 0 Bps 253 secs
  1839.  
  1840.  ifOutBroadcastPkts (0 B) 0 Bps 253 secs
  1841.  
  1842.  ifInMulticastPkts (0 B) 0 Bps 253 secs
  1843.  
  1844.  ifOutMulticastPkts (0 B) 0 Bps 253 secs
  1845. bps(0.000bps/0.000bps)bytes(0.000B/0.000B)pkts(0.000pps/0.000pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id121.rrd N:0:0:0:0:0:0:0:0:0:0:U:0:0:0:0]
  1846. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='0',`ifInOctets_prev` ='0',`ifInOctets_rate` ='0',`ifInOctets_delta` ='0',`ifOutOctets` ='0',`ifOutOctets_prev` ='0',`ifOutOctets_rate` ='0',`ifOutOctets_delta` ='0',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='0',`ifInUcastPkts_prev` ='0',`ifInUcastPkts_rate` ='0',`ifInUcastPkts_delta` ='0',`ifOutUcastPkts` ='0',`ifOutUcastPkts_prev` ='0',`ifOutUcastPkts_rate` ='0',`ifOutUcastPkts_delta` ='0' WHERE `port_id` = '121']
  1847. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='0',`ifInNUcastPkts_prev` ='0',`ifInNUcastPkts_rate` ='0',`ifInNUcastPkts_delta` ='0',`ifOutNUcastPkts` ='0',`ifOutNUcastPkts_prev` ='0',`ifOutNUcastPkts_rate` ='0',`ifOutNUcastPkts_delta` ='0',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='0',`ifInBroadcastPkts_prev` ='0',`ifInBroadcastPkts_rate` ='0',`ifInBroadcastPkts_delta` ='0',`ifOutBroadcastPkts` ='0',`ifOutBroadcastPkts_prev` ='0',`ifOutBroadcastPkts_rate` ='0',`ifOutBroadcastPkts_delta` ='0',`ifInMulticastPkts` ='0',`ifInMulticastPkts_prev` ='0',`ifInMulticastPkts_rate` ='0',`ifInMulticastPkts_delta` ='0',`ifOutMulticastPkts` ='0',`ifOutMulticastPkts_prev` ='0',`ifOutMulticastPkts_rate` ='0',`ifOutMulticastPkts_delta` ='0' WHERE `port_id` = '121']
  1848. 1 updated
  1849. Port Port 12: Port 12 (12 / #122) ifInOctets ifOutOctets ifInUcastPkts ifOutUcastPkts ifInBroadcastPkts ifOutBroadcastPkts ifInMulticastPkts ifOutMulticastPkts ifSpeed VLAN == Port 12
  1850.  ifInOctets (0 B) 0 Bps 253 secs
  1851.  
  1852.  ifOutOctets (0 B) 0 Bps 253 secs
  1853.  
  1854.  ifInErrors (0 B) 0 Bps 253 secs
  1855.  
  1856.  ifOutErrors (0 B) 0 Bps 253 secs
  1857.  
  1858.  ifInUcastPkts (0 B) 0 Bps 253 secs
  1859.  
  1860.  ifOutUcastPkts (0 B) 0 Bps 253 secs
  1861.  
  1862.  ifInNUcastPkts (0 B) 0 Bps 253 secs
  1863.  
  1864.  ifOutNUcastPkts (0 B) 0 Bps 253 secs
  1865.  
  1866.  ifInDiscards (0 B) 0 Bps 253 secs
  1867.  
  1868.  ifOutDiscards (0 B) 0 Bps 253 secs
  1869.  
  1870.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1871.  
  1872.  ifInBroadcastPkts (0 B) 0 Bps 253 secs
  1873.  
  1874.  ifOutBroadcastPkts (0 B) 0 Bps 253 secs
  1875.  
  1876.  ifInMulticastPkts (0 B) 0 Bps 253 secs
  1877.  
  1878.  ifOutMulticastPkts (0 B) 0 Bps 253 secs
  1879. bps(0.000bps/0.000bps)bytes(0.000B/0.000B)pkts(0.000pps/0.000pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id122.rrd N:0:0:0:0:0:0:0:0:0:0:U:0:0:0:0]
  1880. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='0',`ifInOctets_prev` ='0',`ifInOctets_rate` ='0',`ifInOctets_delta` ='0',`ifOutOctets` ='0',`ifOutOctets_prev` ='0',`ifOutOctets_rate` ='0',`ifOutOctets_delta` ='0',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='0',`ifInUcastPkts_prev` ='0',`ifInUcastPkts_rate` ='0',`ifInUcastPkts_delta` ='0',`ifOutUcastPkts` ='0',`ifOutUcastPkts_prev` ='0',`ifOutUcastPkts_rate` ='0',`ifOutUcastPkts_delta` ='0' WHERE `port_id` = '122']
  1881. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='0',`ifInNUcastPkts_prev` ='0',`ifInNUcastPkts_rate` ='0',`ifInNUcastPkts_delta` ='0',`ifOutNUcastPkts` ='0',`ifOutNUcastPkts_prev` ='0',`ifOutNUcastPkts_rate` ='0',`ifOutNUcastPkts_delta` ='0',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='0',`ifInBroadcastPkts_prev` ='0',`ifInBroadcastPkts_rate` ='0',`ifInBroadcastPkts_delta` ='0',`ifOutBroadcastPkts` ='0',`ifOutBroadcastPkts_prev` ='0',`ifOutBroadcastPkts_rate` ='0',`ifOutBroadcastPkts_delta` ='0',`ifInMulticastPkts` ='0',`ifInMulticastPkts_prev` ='0',`ifInMulticastPkts_rate` ='0',`ifInMulticastPkts_delta` ='0',`ifOutMulticastPkts` ='0',`ifOutMulticastPkts_prev` ='0',`ifOutMulticastPkts_rate` ='0',`ifOutMulticastPkts_delta` ='0' WHERE `port_id` = '122']
  1882. 1 updated
  1883. Port Port 13: Port 13 (13 / #123) ifInOctets ifOutOctets ifInUcastPkts ifOutUcastPkts ifInBroadcastPkts ifOutBroadcastPkts ifInMulticastPkts ifOutMulticastPkts ifSpeed VLAN == SFP1
  1884.  ifInOctets (0 B) 0 Bps 253 secs
  1885.  
  1886.  ifOutOctets (0 B) 0 Bps 253 secs
  1887.  
  1888.  ifInErrors (0 B) 0 Bps 253 secs
  1889.  
  1890.  ifOutErrors (0 B) 0 Bps 253 secs
  1891.  
  1892.  ifInUcastPkts (0 B) 0 Bps 253 secs
  1893.  
  1894.  ifOutUcastPkts (0 B) 0 Bps 253 secs
  1895.  
  1896.  ifInNUcastPkts (0 B) 0 Bps 253 secs
  1897.  
  1898.  ifOutNUcastPkts (0 B) 0 Bps 253 secs
  1899.  
  1900.  ifInDiscards (0 B) 0 Bps 253 secs
  1901.  
  1902.  ifOutDiscards (0 B) 0 Bps 253 secs
  1903.  
  1904.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1905.  
  1906.  ifInBroadcastPkts (0 B) 0 Bps 253 secs
  1907.  
  1908.  ifOutBroadcastPkts (0 B) 0 Bps 253 secs
  1909.  
  1910.  ifInMulticastPkts (0 B) 0 Bps 253 secs
  1911.  
  1912.  ifOutMulticastPkts (0 B) 0 Bps 253 secs
  1913. bps(0.000bps/0.000bps)bytes(0.000B/0.000B)pkts(0.000pps/0.000pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id123.rrd N:0:0:0:0:0:0:0:0:0:0:U:0:0:0:0]
  1914. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='0',`ifInOctets_prev` ='0',`ifInOctets_rate` ='0',`ifInOctets_delta` ='0',`ifOutOctets` ='0',`ifOutOctets_prev` ='0',`ifOutOctets_rate` ='0',`ifOutOctets_delta` ='0',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='0',`ifInUcastPkts_prev` ='0',`ifInUcastPkts_rate` ='0',`ifInUcastPkts_delta` ='0',`ifOutUcastPkts` ='0',`ifOutUcastPkts_prev` ='0',`ifOutUcastPkts_rate` ='0',`ifOutUcastPkts_delta` ='0' WHERE `port_id` = '123']
  1915. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='0',`ifInNUcastPkts_prev` ='0',`ifInNUcastPkts_rate` ='0',`ifInNUcastPkts_delta` ='0',`ifOutNUcastPkts` ='0',`ifOutNUcastPkts_prev` ='0',`ifOutNUcastPkts_rate` ='0',`ifOutNUcastPkts_delta` ='0',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='0',`ifInBroadcastPkts_prev` ='0',`ifInBroadcastPkts_rate` ='0',`ifInBroadcastPkts_delta` ='0',`ifOutBroadcastPkts` ='0',`ifOutBroadcastPkts_prev` ='0',`ifOutBroadcastPkts_rate` ='0',`ifOutBroadcastPkts_delta` ='0',`ifInMulticastPkts` ='0',`ifInMulticastPkts_prev` ='0',`ifInMulticastPkts_rate` ='0',`ifInMulticastPkts_delta` ='0',`ifOutMulticastPkts` ='0',`ifOutMulticastPkts_prev` ='0',`ifOutMulticastPkts_rate` ='0',`ifOutMulticastPkts_delta` ='0' WHERE `port_id` = '123']
  1916. 1 updated
  1917. Port Port 14: Port 14 (14 / #124) ifInOctets ifOutOctets ifInUcastPkts ifOutUcastPkts ifInBroadcastPkts ifOutBroadcastPkts ifInMulticastPkts ifOutMulticastPkts ifSpeed VLAN == SFP2
  1918.  ifInOctets (0 B) 0 Bps 253 secs
  1919.  
  1920.  ifOutOctets (0 B) 0 Bps 253 secs
  1921.  
  1922.  ifInErrors (0 B) 0 Bps 253 secs
  1923.  
  1924.  ifOutErrors (0 B) 0 Bps 253 secs
  1925.  
  1926.  ifInUcastPkts (0 B) 0 Bps 253 secs
  1927.  
  1928.  ifOutUcastPkts (0 B) 0 Bps 253 secs
  1929.  
  1930.  ifInNUcastPkts (0 B) 0 Bps 253 secs
  1931.  
  1932.  ifOutNUcastPkts (0 B) 0 Bps 253 secs
  1933.  
  1934.  ifInDiscards (0 B) 0 Bps 253 secs
  1935.  
  1936.  ifOutDiscards (0 B) 0 Bps 253 secs
  1937.  
  1938.  ifInUnknownProtos (0 B) 0 Bps 253 secs
  1939.  
  1940.  ifInBroadcastPkts (0 B) 0 Bps 253 secs
  1941.  
  1942.  ifOutBroadcastPkts (0 B) 0 Bps 253 secs
  1943.  
  1944.  ifInMulticastPkts (0 B) 0 Bps 253 secs
  1945.  
  1946.  ifOutMulticastPkts (0 B) 0 Bps 253 secs
  1947. bps(0.000bps/0.000bps)bytes(0.000B/0.000B)pkts(0.000pps/0.000pps)RRD[update /opt/librenms/rrd/$HOSTNAME/port-id124.rrd N:0:0:0:0:0:0:0:0:0:0:U:0:0:0:0]
  1948. [RRD Disabled]SQL[UPDATE `ports` set `poll_time` ='1503619510',`poll_prev` ='1503619257',`poll_period` ='253',`ifInOctets` ='0',`ifInOctets_prev` ='0',`ifInOctets_rate` ='0',`ifInOctets_delta` ='0',`ifOutOctets` ='0',`ifOutOctets_prev` ='0',`ifOutOctets_rate` ='0',`ifOutOctets_delta` ='0',`ifInErrors` ='0',`ifInErrors_prev` ='0',`ifInErrors_rate` ='0',`ifInErrors_delta` ='0',`ifOutErrors` ='0',`ifOutErrors_prev` ='0',`ifOutErrors_rate` ='0',`ifOutErrors_delta` ='0',`ifInUcastPkts` ='0',`ifInUcastPkts_prev` ='0',`ifInUcastPkts_rate` ='0',`ifInUcastPkts_delta` ='0',`ifOutUcastPkts` ='0',`ifOutUcastPkts_prev` ='0',`ifOutUcastPkts_rate` ='0',`ifOutUcastPkts_delta` ='0' WHERE `port_id` = '124']
  1949. SQL[UPDATE `ports_statistics` set `ifInNUcastPkts` ='0',`ifInNUcastPkts_prev` ='0',`ifInNUcastPkts_rate` ='0',`ifInNUcastPkts_delta` ='0',`ifOutNUcastPkts` ='0',`ifOutNUcastPkts_prev` ='0',`ifOutNUcastPkts_rate` ='0',`ifOutNUcastPkts_delta` ='0',`ifInDiscards` ='0',`ifInDiscards_prev` ='0',`ifInDiscards_rate` ='0',`ifInDiscards_delta` ='0',`ifOutDiscards` ='0',`ifOutDiscards_prev` ='0',`ifOutDiscards_rate` ='0',`ifOutDiscards_delta` ='0',`ifInUnknownProtos` ='0',`ifInUnknownProtos_prev` ='0',`ifInUnknownProtos_rate` ='0',`ifInUnknownProtos_delta` ='0',`ifInBroadcastPkts` ='0',`ifInBroadcastPkts_prev` ='0',`ifInBroadcastPkts_rate` ='0',`ifInBroadcastPkts_delta` ='0',`ifOutBroadcastPkts` ='0',`ifOutBroadcastPkts_prev` ='0',`ifOutBroadcastPkts_rate` ='0',`ifOutBroadcastPkts_delta` ='0',`ifInMulticastPkts` ='0',`ifInMulticastPkts_prev` ='0',`ifInMulticastPkts_rate` ='0',`ifInMulticastPkts_delta` ='0',`ifOutMulticastPkts` ='0',`ifOutMulticastPkts_prev` ='0',`ifOutMulticastPkts_rate` ='0',`ifOutMulticastPkts_delta` ='0' WHERE `port_id` = '124']
  1950. 1 updated
  1951.  
  1952. >> Runtime for poller module 'ports': 0.7142 seconds with 34064 bytes
  1953. #### Unload poller module ports ####
  1954.  
  1955. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-ports.rrd N:0.71415305137634]
  1956. [RRD Disabled]Modules status: Global+ OS  Device  
  1957. #### Load poller module bgp-peers ####
  1958. SQL[SELECT * FROM bgpPeers WHERE device_id = '25']
  1959.  
  1960. >> Runtime for poller module 'bgp-peers': 0.0010 seconds with 8344 bytes
  1961. #### Unload poller module bgp-peers ####
  1962.  
  1963. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-bgp-peers.rrd N:0.0010430812835693]
  1964. [RRD Disabled]Modules status: Global- OS  Device  Module [ junose-atm-vp ] disabled globally.
  1965.  
  1966. Modules status: Global- OS  Device  Module [ toner ] disabled globally.
  1967.  
  1968. Modules status: Global+ OS  Device  
  1969. #### Load poller module ucd-diskio ####
  1970. SQL[SELECT * FROM `ucd_diskio` WHERE `device_id`  = '25']
  1971.  
  1972. >> Runtime for poller module 'ucd-diskio': 0.0002 seconds with 728 bytes
  1973. #### Unload poller module ucd-diskio ####
  1974.  
  1975. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-ucd-diskio.rrd N:0.00021815299987793]
  1976. [RRD Disabled]Modules status: Global- OS  Device  Module [ wifi ] disabled globally.
  1977.  
  1978. Modules status: Global+ OS  Device  
  1979. #### Load poller module wireless ####
  1980. Attempting to initialize OS: netonix
  1981. SQL[SELECT * FROM `wireless_sensors` WHERE `device_id` = '25']
  1982.  
  1983. >> Runtime for poller module 'wireless': 0.0018 seconds with 111272 bytes
  1984. #### Unload poller module wireless ####
  1985.  
  1986. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-wireless.rrd N:0.0017969608306885]
  1987. [RRD Disabled]Modules status: Global+ OS  Device  
  1988. #### Load poller module ospf ####
  1989. Processes: SQL[SELECT * FROM `ospf_instances` WHERE `device_id` = '25' AND `context_name` = '']
  1990. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m OSPF-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 OSPF-MIB::ospfGeneralGroup]
  1991. ospfGeneralGroup = No Such Object available on this agent at this OID
  1992.  
  1993.  Areas: SQL[SELECT * FROM `ospf_areas` WHERE `device_id` = '25' AND `context_name`= '']
  1994. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m OSPF-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 OSPF-MIB::ospfAreaEntry]
  1995. ospfAreaEntry = No Such Object available on this agent at this OID
  1996.  
  1997.  Ports: SQL[SELECT * FROM `ospf_ports` WHERE `device_id` = '25' AND `context_name` = '']
  1998. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m OSPF-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 OSPF-MIB::ospfIfEntry]
  1999. ospfIfEntry = No Such Object available on this agent at this OID
  2000.  
  2001.  Neighbours: SQL[SELECT * FROM `ospf_nbrs` WHERE `device_id` = '25' AND `context_name` = '']
  2002. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m OSPF-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 OSPF-MIB::ospfNbrEntry]
  2003. ospfNbrEntry = No Such Object available on this agent at this OID
  2004.  
  2005.  
  2006. RRD[update /opt/librenms/rrd/$HOSTNAME/ospf-statistics.rrd N:0:0:0:0]
  2007. [RRD Disabled]
  2008.  
  2009. >> Runtime for poller module 'ospf': 0.0872 seconds with 4648 bytes
  2010. #### Unload poller module ospf ####
  2011.  
  2012. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-ospf.rrd N:0.087227821350098]
  2013. [RRD Disabled]Modules status: Global- OS  Device  Module [ cisco-ipsec-flow-monitor ] disabled globally.
  2014.  
  2015. Modules status: Global- OS  Device  Module [ cisco-remote-access-monitor ] disabled globally.
  2016.  
  2017. Modules status: Global- OS  Device  Module [ cisco-cef ] disabled globally.
  2018.  
  2019. Modules status: Global- OS  Device  Module [ cisco-sla ] disabled globally.
  2020.  
  2021. Modules status: Global- OS  Device  Module [ cisco-mac-accounting ] disabled globally.
  2022.  
  2023. Modules status: Global- OS  Device  Module [ cipsec-tunnels ] disabled globally.
  2024.  
  2025. Modules status: Global- OS  Device  Module [ cisco-ace-loadbalancer ] disabled globally.
  2026.  
  2027. Modules status: Global- OS  Device  Module [ cisco-ace-serverfarms ] disabled globally.
  2028.  
  2029. Modules status: Global- OS  Device  Module [ cisco-asa-firewall ] disabled globally.
  2030.  
  2031. Modules status: Global- OS  Device  Module [ cisco-voice ] disabled globally.
  2032.  
  2033. Modules status: Global- OS  Device  Module [ cisco-cbqos ] disabled globally.
  2034.  
  2035. Modules status: Global- OS  Device  Module [ cisco-otv ] disabled globally.
  2036.  
  2037. Modules status: Global- OS  Device  Module [ cisco-vpdn ] disabled globally.
  2038.  
  2039. Modules status: Global- OS  Device  Module [ netscaler-vsvr ] disabled globally.
  2040.  
  2041. Modules status: Global- OS  Device  Module [ aruba-controller ] disabled globally.
  2042.  
  2043. Modules status: Global+ OS  Device  
  2044. #### Load poller module entity-physical ####
  2045. SQL[SELECT * FROM `entPhysical_state` WHERE `device_id` = '25']
  2046.  
  2047. >> Runtime for poller module 'entity-physical': 0.0004 seconds with 944 bytes
  2048. #### Unload poller module entity-physical ####
  2049.  
  2050. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-entity-physical.rrd N:0.0003821849822998]
  2051. [RRD Disabled]Modules status: Global+ OS  Device  
  2052. #### Load poller module applications ####
  2053. SQL[SELECT * FROM `applications` WHERE `device_id`  = '25']
  2054.  
  2055. >> Runtime for poller module 'applications': 0.0002 seconds with 368 bytes
  2056. #### Unload poller module applications ####
  2057.  
  2058. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-applications.rrd N:0.00019717216491699]
  2059. [RRD Disabled]Modules status: Global- OS  Device  Module [ mib ] disabled globally.
  2060.  
  2061. Modules status: Global+ OS  Device  
  2062. #### Load poller module stp ####
  2063. SQL[SELECT * FROM `stp` WHERE `device_id` = '25']
  2064. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -Oqv -m RSTP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 dot1dStpProtocolSpecification.0]
  2065. ieee8021d
  2066.  
  2067. SNMP[/usr/bin/snmpbulkwalk -v2c -c COMMUNITY -OQUs -m RSTP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 dot1dStp]
  2068. dot1dStpProtocolSpecification.0 = ieee8021d
  2069. dot1dStpPriority.0 = 32768
  2070. dot1dStpTimeSinceTopologyChange.0 = 2147483647
  2071. dot1dStpTopChanges.0 = 0
  2072. dot1dStpDesignatedRoot.0 = "80 00 EC 13 B2 81 96 2C "
  2073. dot1dStpRootCost.0 = 0
  2074. dot1dStpRootPort.0 = 65535
  2075. dot1dStpMaxAge.0 = 20
  2076. dot1dStpHelloTime.0 = 2
  2077. dot1dStpHoldTime.0 = 6
  2078. dot1dStpForwardDelay.0 = 15
  2079. dot1dStpBridgeMaxAge.0 = 20
  2080. dot1dStpBridgeHelloTime.0 = 2
  2081. dot1dStpBridgeForwardDelay.0 = 15
  2082. dot1dStpPort.1 = 1
  2083. dot1dStpPort.2 = 2
  2084. dot1dStpPort.3 = 3
  2085. dot1dStpPort.4 = 4
  2086. dot1dStpPort.5 = 5
  2087. dot1dStpPort.6 = 6
  2088. dot1dStpPort.7 = 7
  2089. dot1dStpPort.8 = 8
  2090. dot1dStpPort.9 = 9
  2091. dot1dStpPort.10 = 10
  2092. dot1dStpPort.11 = 11
  2093. dot1dStpPort.12 = 12
  2094. dot1dStpPort.13 = 13
  2095. dot1dStpPort.14 = 14
  2096. dot1dStpPortPriority.1 = 128
  2097. dot1dStpPortPriority.2 = 128
  2098. dot1dStpPortPriority.3 = 128
  2099. dot1dStpPortPriority.4 = 128
  2100. dot1dStpPortPriority.5 = 128
  2101. dot1dStpPortPriority.6 = 128
  2102. dot1dStpPortPriority.7 = 128
  2103. dot1dStpPortPriority.8 = 128
  2104. dot1dStpPortPriority.9 = 128
  2105. dot1dStpPortPriority.10 = 128
  2106. dot1dStpPortPriority.11 = 128
  2107. dot1dStpPortPriority.12 = 128
  2108. dot1dStpPortPriority.13 = 128
  2109. dot1dStpPortPriority.14 = 128
  2110. dot1dStpPortState.1 = forwarding
  2111. dot1dStpPortState.2 = forwarding
  2112. dot1dStpPortState.3 = forwarding
  2113. dot1dStpPortState.4 = forwarding
  2114. dot1dStpPortState.5 = forwarding
  2115. dot1dStpPortState.6 = forwarding
  2116. dot1dStpPortState.7 = forwarding
  2117. dot1dStpPortState.8 = forwarding
  2118. dot1dStpPortState.9 = forwarding
  2119. dot1dStpPortState.10 = forwarding
  2120. dot1dStpPortState.11 = forwarding
  2121. dot1dStpPortState.12 = forwarding
  2122. dot1dStpPortState.13 = forwarding
  2123. dot1dStpPortState.14 = forwarding
  2124. dot1dStpPortEnable.1 = enabled
  2125. dot1dStpPortEnable.2 = enabled
  2126. dot1dStpPortEnable.3 = enabled
  2127. dot1dStpPortEnable.4 = enabled
  2128. dot1dStpPortEnable.5 = enabled
  2129. dot1dStpPortEnable.6 = enabled
  2130. dot1dStpPortEnable.7 = enabled
  2131. dot1dStpPortEnable.8 = enabled
  2132. dot1dStpPortEnable.9 = enabled
  2133. dot1dStpPortEnable.10 = enabled
  2134. dot1dStpPortEnable.11 = enabled
  2135. dot1dStpPortEnable.12 = enabled
  2136. dot1dStpPortEnable.13 = enabled
  2137. dot1dStpPortEnable.14 = enabled
  2138. dot1dStpPortDesignatedRoot.1 = "00 00 00 00 00 00 00 00 "
  2139. dot1dStpPortDesignatedRoot.2 = "00 00 00 00 00 00 00 00 "
  2140. dot1dStpPortDesignatedRoot.3 = "00 00 00 00 00 00 00 00 "
  2141. dot1dStpPortDesignatedRoot.4 = "00 00 00 00 00 00 00 00 "
  2142. dot1dStpPortDesignatedRoot.5 = "00 00 00 00 00 00 00 00 "
  2143. dot1dStpPortDesignatedRoot.6 = "00 00 00 00 00 00 00 00 "
  2144. dot1dStpPortDesignatedRoot.7 = "00 00 00 00 00 00 00 00 "
  2145. dot1dStpPortDesignatedRoot.8 = "00 00 00 00 00 00 00 00 "
  2146. dot1dStpPortDesignatedRoot.9 = "00 00 00 00 00 00 00 00 "
  2147. dot1dStpPortDesignatedRoot.10 = "00 00 00 00 00 00 00 00 "
  2148. dot1dStpPortDesignatedRoot.11 = "00 00 00 00 00 00 00 00 "
  2149. dot1dStpPortDesignatedRoot.12 = "00 00 00 00 00 00 00 00 "
  2150. dot1dStpPortDesignatedRoot.13 = "00 00 00 00 00 00 00 00 "
  2151. dot1dStpPortDesignatedRoot.14 = "00 00 00 00 00 00 00 00 "
  2152. dot1dStpPortDesignatedCost.1 = 0
  2153. dot1dStpPortDesignatedCost.2 = 0
  2154. dot1dStpPortDesignatedCost.3 = 0
  2155. dot1dStpPortDesignatedCost.4 = 0
  2156. dot1dStpPortDesignatedCost.5 = 0
  2157. dot1dStpPortDesignatedCost.6 = 0
  2158. dot1dStpPortDesignatedCost.7 = 0
  2159. dot1dStpPortDesignatedCost.8 = 0
  2160. dot1dStpPortDesignatedCost.9 = 0
  2161. dot1dStpPortDesignatedCost.10 = 0
  2162. dot1dStpPortDesignatedCost.11 = 0
  2163. dot1dStpPortDesignatedCost.12 = 0
  2164. dot1dStpPortDesignatedCost.13 = 0
  2165. dot1dStpPortDesignatedCost.14 = 0
  2166. dot1dStpPortDesignatedBridge.1 = "00 00 00 00 00 00 00 00 "
  2167. dot1dStpPortDesignatedBridge.2 = "00 00 00 00 00 00 00 00 "
  2168. dot1dStpPortDesignatedBridge.3 = "00 00 00 00 00 00 00 00 "
  2169. dot1dStpPortDesignatedBridge.4 = "00 00 00 00 00 00 00 00 "
  2170. dot1dStpPortDesignatedBridge.5 = "00 00 00 00 00 00 00 00 "
  2171. dot1dStpPortDesignatedBridge.6 = "00 00 00 00 00 00 00 00 "
  2172. dot1dStpPortDesignatedBridge.7 = "00 00 00 00 00 00 00 00 "
  2173. dot1dStpPortDesignatedBridge.8 = "00 00 00 00 00 00 00 00 "
  2174. dot1dStpPortDesignatedBridge.9 = "00 00 00 00 00 00 00 00 "
  2175. dot1dStpPortDesignatedBridge.10 = "00 00 00 00 00 00 00 00 "
  2176. dot1dStpPortDesignatedBridge.11 = "00 00 00 00 00 00 00 00 "
  2177. dot1dStpPortDesignatedBridge.12 = "00 00 00 00 00 00 00 00 "
  2178. dot1dStpPortDesignatedBridge.13 = "00 00 00 00 00 00 00 00 "
  2179. dot1dStpPortDesignatedBridge.14 = "00 00 00 00 00 00 00 00 "
  2180. dot1dStpPortDesignatedPort.1 = "00 00 "
  2181. dot1dStpPortDesignatedPort.2 = "00 00 "
  2182. dot1dStpPortDesignatedPort.3 = "00 00 "
  2183. dot1dStpPortDesignatedPort.4 = "00 00 "
  2184. dot1dStpPortDesignatedPort.5 = "00 00 "
  2185. dot1dStpPortDesignatedPort.6 = "00 00 "
  2186. dot1dStpPortDesignatedPort.7 = "00 00 "
  2187. dot1dStpPortDesignatedPort.8 = "00 00 "
  2188. dot1dStpPortDesignatedPort.9 = "00 00 "
  2189. dot1dStpPortDesignatedPort.10 = "00 00 "
  2190. dot1dStpPortDesignatedPort.11 = "00 00 "
  2191. dot1dStpPortDesignatedPort.12 = "00 00 "
  2192. dot1dStpPortDesignatedPort.13 = "00 00 "
  2193. dot1dStpPortDesignatedPort.14 = "00 00 "
  2194. dot1dStpPortPathCost32.1 = 0
  2195. dot1dStpPortPathCost32.2 = 0
  2196. dot1dStpPortPathCost32.3 = 0
  2197. dot1dStpPortPathCost32.4 = 0
  2198. dot1dStpPortPathCost32.5 = 0
  2199. dot1dStpPortPathCost32.6 = 0
  2200. dot1dStpPortPathCost32.7 = 0
  2201. dot1dStpPortPathCost32.8 = 0
  2202. dot1dStpPortPathCost32.9 = 0
  2203. dot1dStpPortPathCost32.10 = 0
  2204. dot1dStpPortPathCost32.11 = 0
  2205. dot1dStpPortPathCost32.12 = 0
  2206. dot1dStpPortPathCost32.13 = 0
  2207. dot1dStpPortPathCost32.14 = 0
  2208. dot1dStpVersion.0 = rstp
  2209. dot1dStpTxHoldCount.0 = 6
  2210.  
  2211. Array
  2212. (
  2213.     [0] => Array
  2214.         (
  2215.             [dot1dStpProtocolSpecification] => ieee8021d
  2216.             [dot1dStpPriority] => 32768
  2217.             [dot1dStpTimeSinceTopologyChange] => 2147483647
  2218.             [dot1dStpTopChanges] => 0
  2219.             [dot1dStpDesignatedRoot] => 80 00 EC 13 B2 81 96 2C
  2220.             [dot1dStpRootCost] => 0
  2221.             [dot1dStpRootPort] => 65535
  2222.             [dot1dStpMaxAge] => 20
  2223.             [dot1dStpHelloTime] => 2
  2224.             [dot1dStpHoldTime] => 6
  2225.             [dot1dStpForwardDelay] => 15
  2226.             [dot1dStpBridgeMaxAge] => 20
  2227.             [dot1dStpBridgeHelloTime] => 2
  2228.             [dot1dStpBridgeForwardDelay] => 15
  2229.             [dot1dStpVersion] => rstp
  2230.             [dot1dStpTxHoldCount] => 6
  2231.         )
  2232.  
  2233.     [1] => Array
  2234.         (
  2235.             [dot1dStpPort] => 1
  2236.             [dot1dStpPortPriority] => 128
  2237.             [dot1dStpPortState] => forwarding
  2238.             [dot1dStpPortEnable] => enabled
  2239.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2240.             [dot1dStpPortDesignatedCost] => 0
  2241.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2242.             [dot1dStpPortDesignatedPort] => 00 00
  2243.             [dot1dStpPortPathCost32] => 0
  2244.         )
  2245.  
  2246.     [2] => Array
  2247.         (
  2248.             [dot1dStpPort] => 2
  2249.             [dot1dStpPortPriority] => 128
  2250.             [dot1dStpPortState] => forwarding
  2251.             [dot1dStpPortEnable] => enabled
  2252.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2253.             [dot1dStpPortDesignatedCost] => 0
  2254.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2255.             [dot1dStpPortDesignatedPort] => 00 00
  2256.             [dot1dStpPortPathCost32] => 0
  2257.         )
  2258.  
  2259.     [3] => Array
  2260.         (
  2261.             [dot1dStpPort] => 3
  2262.             [dot1dStpPortPriority] => 128
  2263.             [dot1dStpPortState] => forwarding
  2264.             [dot1dStpPortEnable] => enabled
  2265.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2266.             [dot1dStpPortDesignatedCost] => 0
  2267.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2268.             [dot1dStpPortDesignatedPort] => 00 00
  2269.             [dot1dStpPortPathCost32] => 0
  2270.         )
  2271.  
  2272.     [4] => Array
  2273.         (
  2274.             [dot1dStpPort] => 4
  2275.             [dot1dStpPortPriority] => 128
  2276.             [dot1dStpPortState] => forwarding
  2277.             [dot1dStpPortEnable] => enabled
  2278.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2279.             [dot1dStpPortDesignatedCost] => 0
  2280.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2281.             [dot1dStpPortDesignatedPort] => 00 00
  2282.             [dot1dStpPortPathCost32] => 0
  2283.         )
  2284.  
  2285.     [5] => Array
  2286.         (
  2287.             [dot1dStpPort] => 5
  2288.             [dot1dStpPortPriority] => 128
  2289.             [dot1dStpPortState] => forwarding
  2290.             [dot1dStpPortEnable] => enabled
  2291.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2292.             [dot1dStpPortDesignatedCost] => 0
  2293.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2294.             [dot1dStpPortDesignatedPort] => 00 00
  2295.             [dot1dStpPortPathCost32] => 0
  2296.         )
  2297.  
  2298.     [6] => Array
  2299.         (
  2300.             [dot1dStpPort] => 6
  2301.             [dot1dStpPortPriority] => 128
  2302.             [dot1dStpPortState] => forwarding
  2303.             [dot1dStpPortEnable] => enabled
  2304.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2305.             [dot1dStpPortDesignatedCost] => 0
  2306.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2307.             [dot1dStpPortDesignatedPort] => 00 00
  2308.             [dot1dStpPortPathCost32] => 0
  2309.         )
  2310.  
  2311.     [7] => Array
  2312.         (
  2313.             [dot1dStpPort] => 7
  2314.             [dot1dStpPortPriority] => 128
  2315.             [dot1dStpPortState] => forwarding
  2316.             [dot1dStpPortEnable] => enabled
  2317.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2318.             [dot1dStpPortDesignatedCost] => 0
  2319.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2320.             [dot1dStpPortDesignatedPort] => 00 00
  2321.             [dot1dStpPortPathCost32] => 0
  2322.         )
  2323.  
  2324.     [8] => Array
  2325.         (
  2326.             [dot1dStpPort] => 8
  2327.             [dot1dStpPortPriority] => 128
  2328.             [dot1dStpPortState] => forwarding
  2329.             [dot1dStpPortEnable] => enabled
  2330.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2331.             [dot1dStpPortDesignatedCost] => 0
  2332.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2333.             [dot1dStpPortDesignatedPort] => 00 00
  2334.             [dot1dStpPortPathCost32] => 0
  2335.         )
  2336.  
  2337.     [9] => Array
  2338.         (
  2339.             [dot1dStpPort] => 9
  2340.             [dot1dStpPortPriority] => 128
  2341.             [dot1dStpPortState] => forwarding
  2342.             [dot1dStpPortEnable] => enabled
  2343.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2344.             [dot1dStpPortDesignatedCost] => 0
  2345.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2346.             [dot1dStpPortDesignatedPort] => 00 00
  2347.             [dot1dStpPortPathCost32] => 0
  2348.         )
  2349.  
  2350.     [10] => Array
  2351.         (
  2352.             [dot1dStpPort] => 10
  2353.             [dot1dStpPortPriority] => 128
  2354.             [dot1dStpPortState] => forwarding
  2355.             [dot1dStpPortEnable] => enabled
  2356.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2357.             [dot1dStpPortDesignatedCost] => 0
  2358.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2359.             [dot1dStpPortDesignatedPort] => 00 00
  2360.             [dot1dStpPortPathCost32] => 0
  2361.         )
  2362.  
  2363.     [11] => Array
  2364.         (
  2365.             [dot1dStpPort] => 11
  2366.             [dot1dStpPortPriority] => 128
  2367.             [dot1dStpPortState] => forwarding
  2368.             [dot1dStpPortEnable] => enabled
  2369.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2370.             [dot1dStpPortDesignatedCost] => 0
  2371.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2372.             [dot1dStpPortDesignatedPort] => 00 00
  2373.             [dot1dStpPortPathCost32] => 0
  2374.         )
  2375.  
  2376.     [12] => Array
  2377.         (
  2378.             [dot1dStpPort] => 12
  2379.             [dot1dStpPortPriority] => 128
  2380.             [dot1dStpPortState] => forwarding
  2381.             [dot1dStpPortEnable] => enabled
  2382.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2383.             [dot1dStpPortDesignatedCost] => 0
  2384.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2385.             [dot1dStpPortDesignatedPort] => 00 00
  2386.             [dot1dStpPortPathCost32] => 0
  2387.         )
  2388.  
  2389.     [13] => Array
  2390.         (
  2391.             [dot1dStpPort] => 13
  2392.             [dot1dStpPortPriority] => 128
  2393.             [dot1dStpPortState] => forwarding
  2394.             [dot1dStpPortEnable] => enabled
  2395.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2396.             [dot1dStpPortDesignatedCost] => 0
  2397.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2398.             [dot1dStpPortDesignatedPort] => 00 00
  2399.             [dot1dStpPortPathCost32] => 0
  2400.         )
  2401.  
  2402.     [14] => Array
  2403.         (
  2404.             [dot1dStpPort] => 14
  2405.             [dot1dStpPortPriority] => 128
  2406.             [dot1dStpPortState] => forwarding
  2407.             [dot1dStpPortEnable] => enabled
  2408.             [dot1dStpPortDesignatedRoot] => 00 00 00 00 00 00 00 00
  2409.             [dot1dStpPortDesignatedCost] => 0
  2410.             [dot1dStpPortDesignatedBridge] => 00 00 00 00 00 00 00 00
  2411.             [dot1dStpPortDesignatedPort] => 00 00
  2412.             [dot1dStpPortPathCost32] => 0
  2413.         )
  2414.  
  2415. )
  2416. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -Oqv -m RSTP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 dot1dBaseBridgeAddress.0]
  2417. ec:13:b2:81:96:2c
  2418.  
  2419. SNMP[/usr/bin/snmpget -v2c -c COMMUNITY -Ovt -m RSTP-MIB -M /opt/librenms/mibs:/opt/librenms/mibs/netonix udp:HOSTNAME:161 dot1dStpTimeSinceTopologyChange.0]
  2420. Wrong Type (should be Timeticks): Counter32: 2147483647
  2421.  
  2422. Array
  2423. (
  2424.     [protocolSpecification] => ieee8021d
  2425.     [priority] => 32768
  2426.     [topChanges] => 0
  2427.     [rootCost] => 0
  2428.     [rootPort] => 65535
  2429.     [maxAge] => 0.2
  2430.     [helloTime] => 0.02
  2431.     [holdTime] => 0.06
  2432.     [forwardDelay] => 0.15
  2433.     [bridgeMaxAge] => 0.2
  2434.     [bridgeHelloTime] => 0.02
  2435.     [bridgeForwardDelay] => 0.15
  2436.     [device_id] => 25
  2437.     [timeSinceTopologyChange] => Wrong Type (should be Timeticks): Counter32: 21474836
  2438.     [designatedRoot] => ec13b281962c
  2439.     [bridgeAddress] => ec13b281962c
  2440.     [rootBridge] => 1
  2441. )
  2442. SQL[UPDATE `stp` set `protocolSpecification` ='ieee8021d',`priority` ='32768',`topChanges` ='0',`rootCost` ='0',`rootPort` ='65535',`maxAge` ='0.2',`helloTime` ='0.02',`holdTime` ='0.06',`forwardDelay` ='0.15',`bridgeMaxAge` ='0.2',`bridgeHelloTime` ='0.02',`bridgeForwardDelay` ='0.15',`device_id` ='25',`timeSinceTopologyChange` ='Wrong Type (should be Timeticks): Counter32: 21474836',`designatedRoot` ='ec13b281962c',`bridgeAddress` ='ec13b281962c',`rootBridge` ='1' WHERE device_id = '25']
  2443. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '1']
  2444. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='111',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '111']
  2445. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '2']
  2446. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='112',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '112']
  2447. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '3']
  2448. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='113',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '113']
  2449. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '4']
  2450. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='114',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '114']
  2451. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '5']
  2452. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='115',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '115']
  2453. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '6']
  2454. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='116',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '116']
  2455. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '7']
  2456. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='117',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '117']
  2457. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '8']
  2458. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='118',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '118']
  2459. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '9']
  2460. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='119',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '119']
  2461. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '10']
  2462. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='120',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '120']
  2463. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '11']
  2464. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='121',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '121']
  2465. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '12']
  2466. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='122',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '122']
  2467. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '13']
  2468. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='123',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '123']
  2469. .SQL[SELECT port_id FROM `ports` WHERE `device_id` = '25' AND `ifIndex` = '14']
  2470. SQL[UPDATE `ports_stp` set `priority` ='128',`state` ='forwarding',`enable` ='enabled',`pathCost` ='',`designatedCost` ='0',`designatedPort` ='0',`forwardTransitions` ='',`device_id` ='25',`port_id` ='124',`designatedRoot` ='000000000000',`designatedBridge` ='000000000000' WHERE `device_id` = '25' AND `port_id` = '124']
  2471. .
  2472.  
  2473. >> Runtime for poller module 'stp': 0.2850 seconds with 5264 bytes
  2474. #### Unload poller module stp ####
  2475.  
  2476. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-stp.rrd N:0.2850239276886]
  2477. [RRD Disabled]Modules status: Global+ OS  Device  
  2478. #### Load poller module ntp ####
  2479.  
  2480. >> Runtime for poller module 'ntp': 0.0001 seconds with 192 bytes
  2481. #### Unload poller module ntp ####
  2482.  
  2483. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-ntp.rrd N:8.5115432739258E-5]
  2484. [RRD Disabled]Modules status: Global+ OS  Device  
  2485. #### Load poller module services ####
  2486.  
  2487. >> Runtime for poller module 'services': 0.0000 seconds with 0 bytes
  2488. #### Unload poller module services ####
  2489.  
  2490. RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf-services.rrd N:2.598762512207E-5]
  2491. [RRD Disabled]Modules status: Global- OS  Device  Module [ loadbalancers ] disabled globally.
  2492.  
  2493. Modules status: Global- OS  Device  Module [ mef ] disabled globally.
  2494.  
  2495. Modules status: Global- OS  Device  Module [ tnms-nbi ] disabled globally.
  2496.  
  2497. ### Start Device Groups ###
  2498. SQL[SELECT * FROM device_groups ORDER BY name]
  2499. SQL[SELECT DISTINCT(devices.device_id) FROM devices WHERE  devices.device_id='25' AND (devices.os REGEXP "airos-af" ) LIMIT 1]
  2500. SQL[SELECT DISTINCT(devices.device_id) FROM devices WHERE  devices.device_id='25' AND (devices.sysName REGEXP "BH" && devices.os REGEXP "cambium" ) LIMIT 1]
  2501. SQL[SELECT DISTINCT(devices.device_id) FROM devices WHERE  devices.device_id='25' AND (devices.sysName REGEXP "epmp-sm" && devices.os REGEXP "cambium" ) LIMIT 1]
  2502. SQL[SELECT `device_group_id` FROM `device_group_device` WHERE `device_id`='25']
  2503. Groups Added:
  2504. Groups Removed:
  2505. ### End Device Groups ###
  2506. SQL[SELECT `graph` FROM `device_graphs` WHERE `device_id` = '25']
  2507. uptime hr_users ucd_cpu ucd_swap_io ucd_io ucd_contexts ucd_interrupts ucd_memory ucd_load RRD[update /opt/librenms/rrd/$HOSTNAME/ping-perf.rrd N:4.70]
  2508. [RRD Disabled]RRD[update /opt/librenms/rrd/$HOSTNAME/poller-perf.rrd N:2.438]
  2509. [RRD Disabled]Polled in 2.438 seconds
  2510. Updating $HOSTNAME
  2511. SQL[UPDATE `devices` set `uptime` ='490354',`last_ping` =NOW(),`last_ping_timetaken` ='4.70',`last_polled` =NOW(),`last_polled_timetaken` ='2.438' WHERE `device_id` = '25']
  2512. UPDATED!
  2513. #### Start Alerts ####
  2514. SQL[SELECT `device_group_id` FROM `device_group_device` WHERE `device_id`='25']
  2515. SQL[SELECT alert_schedule.schedule_id FROM alert_schedule LEFT JOIN alert_schedule_items ON alert_schedule.schedule_id=alert_schedule_items.schedule_id WHERE ( alert_schedule_items.target = '25' ) && ((alert_schedule.recurring = 0 AND (NOW() BETWEEN alert_schedule.start AND alert_schedule.end)) OR (alert_schedule.recurring = 1 AND (alert_schedule.start_recurring_dt <= date_format(NOW(), '--%d') AND (end_recurring_dt >= date_format(NOW(), '--%d') OR end_recurring_dt is NULL OR end_recurring_dt = '0000-00-00' OR end_recurring_dt = '')) AND (date_format(now(), '%H:%i:%s') BETWEEN `start_recurring_hr` AND end_recurring_hr) AND (recurring_day LIKE CONCAT('%',date_format(now(), ''),'%') OR recurring_day is null or recurring_day = ''))) LIMIT 1]
  2516. SQL[SELECT `device_group_id` FROM `device_group_device` WHERE `device_id`='25']
  2517. SQL[SELECT alert_rules.* FROM alert_rules LEFT JOIN alert_map ON alert_rules.id=alert_map.rule WHERE alert_rules.disabled = 0 && ( (alert_rules.device_id = -1 || alert_rules.device_id = '25' ) || alert_map.target = '25'  )]
  2518. Rule #1 (Devices up/down):
  2519. SQL[SELECT state FROM alerts WHERE rule_id = '1' && device_id = '25' ORDER BY id DESC LIMIT 1]
  2520. SQL[SELECT * FROM devices WHERE (devices.device_id = '25') && (((devices.status = 0  &&  ((devices.disabled = 0  &&  devices.ignore = 0)))) = "1"  )]
  2521. Status: NOCHG
  2522. Rule #2 (Device rebooted):
  2523. SQL[SELECT state FROM alerts WHERE rule_id = '2' && device_id = '25' ORDER BY id DESC LIMIT 1]
  2524. SQL[SELECT * FROM devices WHERE (devices.device_id = '25') && (devices.uptime < "300"  &&  ((devices.disabled = 0  &&  devices.ignore = 0)) = "1"  )]
  2525. Status: NOCHG
  2526. Rule #9 (Service up/down):
  2527. SQL[SELECT state FROM alerts WHERE rule_id = '9' && device_id = '25' ORDER BY id DESC LIMIT 1]
  2528. SQL[SELECT * FROM services,devices WHERE (( devices.device_id = services.device_id ) && services.device_id = '25') && (services.service_status != "0"  &&  ((devices.status = 1  &&  ((devices.disabled = 0  &&  devices.ignore = 0)))) = "1"  )]
  2529. Status: NOCHG
  2530. Rule #10 (ePMP GPS Sync Down):
  2531. SQL[SELECT state FROM alerts WHERE rule_id = '10' && device_id = '25' ORDER BY id DESC LIMIT 1]
  2532. SQL[SELECT * FROM devices WHERE (devices.device_id = '25') && (devices.cambium-epmp-gpsSync != "2"     )]
  2533. Status: NOCHG
  2534. Rule #11 (State sensor change):
  2535. SQL[SELECT state FROM alerts WHERE rule_id = '11' && device_id = '25' ORDER BY id DESC LIMIT 1]
  2536. SQL[SELECT * FROM sensors WHERE (sensors.device_id = '25') && ((sensors.sensor_current != sensors.sensor_prev  &&  sensors.sensor_type = "state") = "1"   )]
  2537. Status: NOCHG
  2538. Rule #12 (Public SNMP):
  2539. SQL[SELECT state FROM alerts WHERE rule_id = '12' && device_id = '25' ORDER BY id DESC LIMIT 1]
  2540. SQL[SELECT * FROM devices WHERE (devices.device_id = '25') && (((devices.disabled = 0  &&  devices.ignore = 0)) = "1"  &&  devices.community REGEXP "public" )]
  2541. Status: NOCHG
  2542. Rule #13 (Temperature Over Limit):
  2543. SQL[SELECT state FROM alerts WHERE rule_id = '13' && device_id = '25' ORDER BY id DESC LIMIT 1]
  2544. SQL[SELECT * FROM sensors,devices WHERE (( devices.device_id = sensors.device_id ) && sensors.device_id = '25') && (sensors.sensor_current > sensors.sensor_limit  &&  sensors.sensor_alert > "1"  &&  ((devices.status = 1  &&  ((devices.disabled = 0  &&  devices.ignore = 0)))) > "1"  &&  sensors.sensor_type REGEXP "temperature" )]
  2545. Status: NOCHG
  2546. #### End Alerts ####
  2547. SQL[INSERT INTO `perf_times` (`type`,`doing`,`start`,`duration`,`devices`,`poller`)  VALUES ('poll','$HOSTNAME','1503619508.5703','2.516','1','orwell\n')]
  2548. /opt/librenms/poller.php $HOSTNAME 2017-08-25 10:05:11 - 1 devices polled in 2.516 secs
  2549. SNMP: Get[25/0.66s] Walk [14/0.83s]
  2550. MySQL: Cell[35/0.01s] Row[10/0.00s] Rows[35/0.01s] Column[3/0.00s] Update[76/0.49s] Insert[2/0.00s] Delete[0/0.00s]
  2551.